Jean-Pierre Raskin
SST/EPL Ecole polytechnique de Louvain (EPL)
SST/ICTM Institute of Information and Communication Technologies, Electronics and Applied Mathematics (ICTEAM)
SST/ICTM/ELEN Pôle en ingénierie électrique (ELEN)
Adresse postale
ELEN - MaxwellL5.03.02
Place du Levant 3
1348 Louvain-la-Neuve
Baral, Paul ; Jaddi, Sahar ; Wang, Hui ; Orekhov, Andrey ; Gauquelin, Nicolas ; Bagherpour, Alireza ; Van Loock, Frederik ; Coulombier, Michaël ; Favache, Audrey ; Rusinowicz, Morgan ; Verbeeck, Johan ; Lucas, Stéphane ; Raskin, Jean-Pierre ; Idrissi, Hosni ; Pardoen, Thomas. Al2O3/Al hybrid nanolaminates with superior toughness, strength and ductility. In: Nature Communications, Vol. 16, no.1, p. 1355 (2025). doi:10.1038/s41467-025-56512-7.
Khiara, Nargisse ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Bréchet, Yves ; Pardoen, Thomas ; Onimus, Fabien. Helium nano-bubbles in copper thin films slows down creep under ion irradiation. In: Acta Materialia, Vol. 288, p. 120854 (2025). doi:10.1016/j.actamat.2025.120854.
Orekhov, Andrey ; Gauquelin, Nicolas ; Kermouche, Guillaume ; Gomez-Perez, Alejandro ; Baral, Paul ; Dohmen, Ralf ; Coulombier, Michaël ; Verbeeck, Johan ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, Dominique ; Lin, Jun ; Cordier, Patrick ; Idrissi, Hosni. Room temperature electron beam sensitive viscoplastic response of ultra-ductile amorphous olivine films. In: Acta Materialia, Vol. 282, p. 120479 (2025). doi:10.1016/j.actamat.2024.120479.
Cardinael, Pieter ; Yadav, Sachin ; Hahn, Herwig ; Zhao, Ming ; Banerjee, Sourish ; Kazemi Esfeh, Babak ; Mauder, Christof ; O'Sullivan, Barry ; Peralagu, Uthayasankaran ; Vohra, Anurag ; Langer, Robert ; Collaert, Nadine ; Parvais, Bertrand ; Raskin, Jean-Pierre. AlN/Si interface engineering to mitigate RF losses in MOCVD-grown GaN-on-Si substrates. In: Applied Physics Letters, Vol. 125, no.7, p. 2103 (2024). doi:10.1063/5.0212145.
Nyssens, Lucas ; Nabet, Massinissa ; Rack, Martin ; Bendou, Youssef ; Wane, S. ; Sombrin, J. B. ; Raskin, Jean-Pierre ; Lederer, Dimitri. Analysis of Back-Gate Bias Control on EVM Measurements of a Dual-Band Power Amplifier in 22 nm FD-SOI for 5G 28 and 39 GHz Applications. In: IEEE Transactions on Circuits and Systems, Vol. 71, no.12 (2024). doi:10.1109/TCSI.2024.3487636.
Huang, Yang ; Yan, Yiyi ; Nabet, Massinissa ; Liu, Fanyu ; Li, Bo ; Li, Binhong ; Han, Zhengsheng ; Cristoloveanu, Sorin ; Raskin, Jean-Pierre. Analysis of anomalous C-V behavior for extracting the traps density in the undoped polysilicon with a double-BOX structure. In: Solid-State Electronics, Vol. 217, no.n/, p. 108946 (2024).
Huang, Yang ; Liu, Fanyu ; Cristoloveanu, Sorin ; Ma, Shiqi ; Nabet, Massinissa ; Yan, Yiyi ; Li, Bo ; Li, Binhong ; Nguyen, Bich-Yen ; Han, Zhengsheng ; Raskin, Jean-Pierre. C-V characterization of the trap-rich layer in a novel Double-BOX structure. In: Solid-State Electronics, Vol. 218, p. 108951 (2024).
Cardinael, Pieter ; Yadav, Sachin ; Rack, Martin ; Peralagu, Uthayasankaran ; Alian, Alireza ; Parvais, Bertrand ; Collaert, Nadine ; Raskin, Jean-Pierre. Contribution of Substrate Harmonic Distortion to GaN-on-Si RF Switches Linearity. In: IEEE Microwave and Wireless Technology Letters, Vol. 34, no.3, p. 298-301 (2024). doi:10.1109/lmwt.2024.3355148.
Jaddi, Sahar ; Malik, M. Wasil ; Wang, Bin ; Pugno, Nicola M. ; Zeng, Yun ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Definitive engineering strength and fracture toughness of graphene through on-chip nanomechanics. In: Nature Communications, Vol. 15, no.1, p. 5863 (2024). doi:10.1038/s41467-024-49426-3.
Cardinael, Pieter ; Yadav, Sachin ; Parvais, Bertrand ; Raskin, Jean-Pierre. Effect of Buffer Charge Redistribution on RF Losses and Harmonic Distortion in GaN-on-Si Substrates. In: IEEE Journal of the Electron Devices Society, Vol. 12, p. 322-330 (2024). doi:10.1109/JEDS.2024.3386170.
Coulombier, Michaël ; Baral, Paul ; Orekhov, Andrey ; Dohmen, Ralf ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Cordier, Patrick ; Idrissi, Hosni. On-chip very low strain rate rheology of amorphous olivine films. In: Acta Materialia, Vol. 266, p. 119693 (2024). doi:10.1016/j.actamat.2024.119693.
Roisin, Nicolas ; Brunin, Guillaume ; Rignanese, Gian-Marco ; Flandre, Denis ; Raskin, Jean-Pierre ; Poncé, Samuel. Phonon-limited mobility for electrons and holes in highly-strained silicon. In: npj Computational Materials, Vol. 10, no.1, p. 242 (2024). doi:10.1038/s41524-024-01425-0.
Le Brun, Grégoire ; Nuytten, Manon ; Leprince, Audrey ; Glinel, Karine ; Gillis, Annika ; Mahillon, Jacques ; Raskin, Jean-Pierre. Rapid and Specific Detection of Bacillus cereus Using Phage Protein-Based Lateral Flow Assays. In: ACS Applied Bio Materials, Vol. 7, no.11, p. 7292-7305 (2024). doi:10.1021/acsabm.4c00965.
Daudin, R. ; Idrissi, Hosni ; Coulombier, Michaël ; Lhuissier, P. ; Béché, Armand ; Verbeeck, J. ; Schryvers, D. ; Ghidelli, M. ; Raskin, Jean-Pierre ; Blandin, J-J. ; Schülli, T. U. ; Pardoen, Thomas. Strain-and temperature-induced dilatancy in ZrNi thin film metallic glasses with nanoscale structural heterogeneities. In: Journal of Materials Research, Vol. Online (2024). doi:10.1557/s43578-024-01479-2.
Le Brun, Grégoire ; Hauwaert, Margo ; Moumneh, Ramy ; Yunus, Sami ; Raskin, Jean-Pierre. Studying ion transport dynamics in electrochemical measurements of lateral flow assays. In: Journal of Electroanalytical Chemistry, Vol. 966, p. 118399 (2024). doi:10.1016/j.jelechem.2024.118399 (Soumis).
Pirson, Thibault ; Le Brun, Grégoire ; Ernesto Quisbert-Trujillo ; Thomas Ernst ; Raskin, Jean-Pierre ; Bol, David. Towards Life Cycle Thinking and Judicious Ecodesign for the Internet of Things (IoT) Current Practices and Perspectives. In: Jenny Stanford Publishing, Outlooking beyond Nanoelectronics and Nanosystems, Simon Deleonibus: New York, 2024, p. 75-136. 9781003509905. doi:10.1201/9781003509905.
Kashiwar, Ankush ; Baral, Paul ; Coulombier, Michaël ; Delannay, Laurent ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Idrissi, Hosni. A Novel combination of lab-on-chip testing, nanoscale DIC, and ACOM-TEM for unraveling plasticity mechanisms in UFG freestanding metal thin films. Nanobrücken 2024, Nanomechanical Testing Conference (Ecully, France, du 19/03/2024 au 21/03/2024).
Baral, Paul ; Kashiwar, Ankush ; Coulombier, Michaël ; Delannay, Laurent ; Hoummada, Khalid ; Raskin, Jean-Pierre ; Idrissi, Hosni ; Pardoen, Thomas. Combining nano-DIC and ACOM TEM to study the ductility enhancement of aluminium films by grain boundary sliding. 19th European Mechanics of Materials Conference (EMMC19) (Madrid, Spain, du 29/05/2024 au 31/05/2024).
Hahn, H. ; Mauder, C. ; Marx, M. ; Gao, Z. ; Lauffer, P. ; Schön, O. ; John, P.T. ; Yadav, S. ; Banerjee, S. ; Cardinael, Pieter ; Raskin, Jean-Pierre ; Parvais, B. ; Fahle, D.. Depleted AlN/Si interfaces for minimizing RF loss in GaN-on-Si HEMTs. CS Mantech (Tucson, AZ, USA, du 20/05/2024 au 23/05/2024). In: CS Mantech 2024 Digest, Vol. -, no.-, p. 1-4 (2024).
Roisin, Nicolas ; Lahaye, Loïc ; Raskin, Jean-Pierre ; Flandre, Denis. Electron mobility in silicon under high uniaxial strain. EuroSOI-ULIS 2024 (Athens, du 15/05/2024 au 17/05/2024).
Vanhouche, Benjamin ; Cardinael, Pieter ; Boakes, Lizzie ; Ragnarsson, Lars-Åke ; Rolin, Cédric ; Raskin, Jean-Pierre ; Parvais, Bertrand. Environmental Analysis of RF Substrates. 2024 Electronics Goes Green 2024+ (EGG) (Berlin, Germany, du 18/6/2024 au 20/6/2024). In: 2024 Electronics Goes Green 2024+ (EGG), Vol. -, no.-, p. 1-8 (2024). doi:10.23919/egg62010.2024.10631181.
Pardoen, Thomas ; Jaddi, Sahar ; Wasil, M. ; Wang, B. ; Coulombier, Michaël ; Raskin, Jean-Pierre. Last progress about on chip test methods On-chip fracture mechanics to explore fracture toughness of freestanding films from brittle to ductile, down to 2D materials. ERC Timeman international meeting (Antwerpen, du 11/09/2024 au 13/09/2024).
Perrosé, Martin ; Acosta Alba, Pablo ; Reboh, Shay ; Lugo, Jose ; Plantier, Christophe ; Cardinael, Pieter ; Rack, Martin ; Allibert, Frédéric ; Milesi, Frédéric ; Garros, Xavier ; Raskin, Jean-Pierre. Local Interface RF Passivation Layer Based on Helium Ion-Implantation in High-Resistivity Silicon Substrates. 2024 IEEE/MTT-S International Microwave Symposium - IMS 2024 (Washington, DC, USA, du 16/06/2024 au 21/06/2024). In: Proceedings of the 2024 IEEE/MTT-S International Microwave Symposium (IMS)., Vol. -, no.-, p. 944-947 (2024). doi:10.1109/IMS40175.2024.10600220.
Parlak, Mehmet ; Rack, Martin ; Lucas Nyssens ; Denis, Théo ; Raskin, Jean-Pierre ; Lederer, Dimitri. Millimeter-Wave Low Noise Amplifiers in SOI for 5G/6G Joint Communication and Sensing. 2024 International Radar Symposium (IRS) (Wroclaw, Poland).
Colla, Marie-Stéphane ; Naceri, Salah Eddine ; Roisin, Nicolas ; Baral, Paul ; Coulombier, Michaël ; Idrissi, Hosni ; Flandre, Denis ; Raskin, Jean-Pierre ; Pardoen, Thomas. New developments of the residual stress actuated on-chip testing method. 2nd MecaNano General Meeting (Vienna, du 01/05/2024 au 03/05/2024).
Delait, Louis ; Z. Tian ; Rack, Martin ; Courte, Quentin ; A. Rennings ; Craeye, Christophe ; Raskin, Jean-Pierre ; Lederer, Dimitri ; Ma, Shiqi. Planar Leaky-Wave Antenna Design on Thick Substrate by Radiating Surface Waves Using Strip Rings. 2024 49th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz) (Perth, Australia).
Kashiwar, Ankush ; Orekhov, Andrey ; UI Haq, Ihtasham ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas ; Idrissi, Hosni. Room temperature electron beam sensitive viscoplastic response of ultra-ductile Al/a-Al2O3 model system. EMMC19 - 19th European Mechanics of Materials Conference (Madrid, Spain, du 29/05/2024 au 31/05/2024).
Cordier, Patrick ; Orekhov, Andrey ; Gauquelin, Nicolas ; Kermouche, Guillaume ; Baral, Paul ; Dohmen, Ralf ; Coulombier, Michaël ; Verbeeck, Johan ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, Dominique ; Idrissi, Hosni. Room temperature electron beam sensitive viscoplastic response of ultra-ductile amorphous olivine films. EMMC19 - 19th European Mechanics of Materials Conference (Madrid, Spain, du 29/05/2024 au 31/05/2024).
Colla, Marie-Stéphane ; Roisin, Nicolas ; Flandre, Denis ; Raskin, Jean-Pierre ; Pardoen, Thomas. Strain engineering of thin semiconductor films investigated using the residual-stress-actuated on-chip testing method. 19th European Mechanics of Materials Conference - EMMC19 (Madrid, du 29/05/2024 au 31/05/2024).
Nabet, Massinissa ; Rack, Martin ; Crémer, Sébastien ; Paillardet, Frédéric ; Cathelin, Andreia ; Raskin, Jean-Pierre ; Lederer, Dimitri. Sub-6 GHz RF SPDT Switches Designed in an Advanced 28 nm Fully-Depleted Silicon-on-Insulator Technology with a High Resistivity Substrate. 2024 19th European Microwave Integrated Circuits Conference (EuMIC) (Paris, France, du 23/09/2024 au 24/09/2024). In: 2024 19th European Microwave Integrated Circuits Conference (EuMIC), 2024. 978-2-87487-078-1. doi:10.23919/EuMIC61603.2024.10732828.
Ma, Shiqi ; Nabet, Massinissa ; Hanus, Romain ; Raskin, Jean-Pierre ; Francis, Laurent ; Lederer, Dimitri. Towards Porous SI THz Planar Waveguides in Ultra-Low-Resistivity Substrates. 15th Globol Symposium on Millimeter-Waves & Terahertz (GSMM) (Hong Kong, du 20/05/2024 au 22/05/2024). doi:10.1109/GSMM61775.2024.10553004.
Nuytten, Manon ; Le Brun, Grégoire ; Gillis, Annika ; Raskin, Jean-Pierre ; Mahillon, Jacques. Using bacteriophage-binding proteins for the rapid and specific detection of pathogens with lateral flow assay. Belgian Society for Microbiology (BSM) annual symposium (Bruxelles, Belgique, 08/05/2024).
Vanbrabant, Martin ; Rack, Martin ; Lederer, Dimitri ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Various RF Substrate Solutions for 22 nm FD-SOI Technology Targeting Cryogenic Applications. 2024 IEEE/MTT-S International Microwave Symposium - IMS 2024 (Washington DC USA, du 16/06/2024 au 21/06/2024). In: 2024 IEEE/MTT-S International Microwave Symposium - IMS 2024, 2024. 979-8-3503-7504-6, pp. 784-787. doi:10.1109/IMS40175.2024.10600309.
Bahrami, Farzaneh ; Malik, Mohammad Wasil ; Van Loock, Frederik ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Nysten, Bernard. Accurate determination of stiffness and strength of graphene via AFM-based membrane deflection. In: Measurement Science and Technology, Vol. 34, no.12, p. 125027 (2023). doi:10.1088/1361-6501/acf4b1.
Yan, Yiyi ; Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre. Analysis of trap distribution and NBTI degradation in Al2O3/SiO2 dielectric stack. In: Solid State Electronics, Vol. 207, no.207 (2023). doi:10.1016/j.sse.2023.108675.
Roisin, Nicolas ; Colla, Marie-Stéphane ; Scaffidi, Romain ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. Band gap reduction in highly-strained silicon beams predicted by first-principles theory and validated using photoluminescence spectroscopy. In: Optical Materials, Vol. 144, no.114347, p. 1-10 (2023). doi:10.1016/j.optmat.2023.114347.
Huang, Yang ; Yan, Yiyi ; Nabet, Massinissa ; Liu, Fanyu ; Li, Bo ; Li, Binhong ; Han, Zhengsheng ; Nguyen, Bich-Yen ; Cristoloveanu, Sorin ; Raskin, Jean-Pierre. C-V measurement and modeling of double-BOX Trap-Rich SOI substrate. In: Solid-State Electronics, Vol. 209, p. 108763 (2023). doi:10.1016/j.sse.2023.108763.
Halder, Arka ; Nyssens, Lucas ; Lederer, Dimitri ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Comparison of Heat Sinks in Back-End of Line to reduce Self-Heating in 22FDX® MOSFETs. In: Solid-State Electronics, Vol. 207, p. 108706 (2023). doi:10.1016/j.sse.2023.108706.
Nabet, Massinissa ; Rack, Martin ; Yan, Yiyi ; Nguyen, Bich-Yen ; Raskin, Jean-Pierre. Double Buried Oxide Trap-Rich Substrates for High Frequency Applications. In: IEEE Electron Device Letters, , p. 1-1 (2023). doi:10.1109/LED.2023.3243693.
Nyssens, Lucas ; Rack, Martin ; Nabet, Massinissa ; Schwan, C. ; Zhao, Z. ; Lhemann, S. ; Lederer, Dimitri ; Raskin, Jean-Pierre. High-resistivity with PN interface passivation in 22 nm FD-SOI technology for low-loss passives at RF and millimeter-wave frequencies. In: Solid-State Electronics, Vol. 205 (2023). doi:10.1016/j.sse.2023.108656.
Halder, Arka ; Nyssens, Lucas ; Vanbrabant, Martin ; Rack, Martin ; Lederer, Dimitri ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Impact of High Temperature Up to 175 ∘ C on the DC and RF Performances of 22-nm FD-SOI MOSFETs. In: IEEE Transactions on Electron Devices, , p. 1-6 (2023). doi:10.1109/TED.2023.3303150.
Vanbrabant, Martin ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Impact of thermal coupling effects on the digital and analog figures of merit of UTBB SOI MOSFET pairs. In: Solid - State Circuits, Vol. 2023, p. 108623 (2023). doi:10.1016/j.sse.2023.108623.
L. Nyssens ; Ma, Shiqi ; Rack, Martin ; Lederer, Dimitri ; Raskin, Jean-Pierre. Probe-Dependent Residual Error Analysis for Accurate On-Wafer MOSFET Measurements up to 110 GHz. In: IEEE Journal of the Electron Devices Society, Vol. 11, no.11, p. 650 - 657 (2023). doi:10.1109/JEDS.2023.3284291.
Roisin, Nicolas ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Flandre, Denis. Raman Strain-Shift Measurements and Prediction from First-Principles in Highly-Strained Silicon. In: Journal of Materials Science: Materials in Electronics, Vol. 34, p. 373 (2023). doi:10.1007/s10854-022-09769-3.
Pirson, Thibault ; Delhaye, Thibault P. ; Pip, Alex ; Le Brun, Grégoire ; Raskin, Jean-Pierre ; Bol, David. The Environmental Footprint of IC Production: Review, Analysis and Lessons from Historical Trends. In: IEEE Transactions on Semiconductor Manufacturing, Vol. 36, p. 56-67 (2023). doi:10.1109/tsm.2022.3228311 (Accepté/Sous presse).
Rack, Martin; ; Nyssens, Lucas; ; Nabet, Massinissa ; Lederer, Dimitri ; Raskin, Jean-Pierre. Impact of a High-resistivity Substrate on RF and mm-wave Performance of 22 nm FD-SOI Devices and Circuits. In: Björn Debaillie, François Brunier, Dominique Morche, Erkan Nevzat Isa, Jan Craninckx, Technologies Enabling Future Mobile Connectivity & Sensing, Rive Publishers: New York, 2023. 9781032633039. doi:10.1201/9781032633039.
Rack, Martin ; L. Nyssens ; Q.H. Le ; D.K. Huynh ; T. Kämpfe ; Raskin, Jean-Pierre ; Lederer, Dimitri. A Compact 120 GHz LNA in 22 nm FD-SOI with Back-Gate Controllable Variable-Gain. 18th European Microwave Integrated Circuits Conference (EuMIC) (Berlin, Germany, 30/11/2023). In: 18th European Microwave Integrated Circuits Conference (EuMIC), 2023. 978-2-87487-073-6.
Bahrami, Farzaneh ; Malik, Mohammad Wasil ; Van Loock, Frederik ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Nysten, Bernard. Accurate determination of stiffness and strength of graphene via AFM-based membrane deflection. Forum 2023 des microscopies à sonde locale (Obernai, France, du 03/04/2023 au 07/04/2023).
Roisin, Nicolas ; Colla, Marie-Stéphane ; Scaffidi, Romain ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. Band gap narrowing in highly-strained silicon beams observed using photoluminescence spectroscopy. BePOM 2023 (Bruxelles, du 21/09/2023 au 22/09/2023).
Fache, T. ; Moulin, Maxime ; Charlet, I. ; Chalupa, Z. ; Raskin, Jean-Pierre ; Allibert, F. ; Plantier, C. ; Gaillard, F. ; Hutin, L.. Buried PN junctions impact on the performances of an inductor at RF frequencies. IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF 2023 (Las Vegas, Nevada, USA, du 22/01/2023 au 25/01/2023).
Huang, Yang ; Yan, Yiyi ; Nabet, Massinissa ; Liu, Fanyu ; Li, Bo ; Li, Binhong ; Han, Zhengsheng ; Nguyen, Bich-Yen ; Cristoloveanu, Sorin ; Raskin, Jean-Pierre. C-V Measurement and Modeling of Double-BOX Trap-Rich SOI Substrate. 9th Joint Intl EuroSOI Workshop and International Conf On Ultimate Integration on Silicon 2023 (Tarragona, Spain, du 10/05/2023 au 12/05/2023). In: 9th Joint Intl EuroSOI Workshop and International Conf On Ultimate Integration on Silicon 2023, 2023.
MYRIAM BANAÏ ; Contino, Francesco ; Ducarme, Delphine ; Malcourant, Emilie ; Raskin, Jean-Pierre. Collaborations entreprises – universités : un levier vers un changement systémique ?. Questions de pédagogie dans l'enseignement supérieur (Lausanne , du 05/06/2023 au 09/06/2023).
Alkhalifeh, Khaldoun ; Vanbrabant, Martin ; Rack, Martin ; Tihon, Denis ; Craeye, Christophe ; Raskin, Jean-Pierre ; Lederer, Dimitri. Combined Thermo-Reflectance and Thin-Film Coating in Near-Field Imaging of Chip-Package-PCB-Antenna Modules for Industrial-Testing and Failure Analysis. Texas Symposium on Wireless and Microwave Circuits and Systems (WMCS) (Texas, USA, 30/11/2023). In: Texas Symposium on Wireless and Microwave Circuits and Systems (WMCS), 2023. 979-8-3503-3880-5.
Zeidi, Najeh ; Rack, Martin ; André, Nicolas ; Tounsi, Fares ; Raskin, Jean-Pierre ; Flandre, Denis. Effect of Silicon Substrate Resistivity on Large- Area High-Voltage Spiral Inductor Performance. 2023 Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS (Valetta, Malta, du 28/05/2023 au 31/05/2023). In: 2023 Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS, 2023. 979-8-3503-4132-4. doi:10.1109/DTIP58682.2023.10267935.
Scheen, Gilles ; Tuyaerts, Romain ; Cardinael, Pieter ; Ekoga, Enriqué ; Aouadi, Khaled ; Pavageau, Christophe ; Rassekh, Amin ; Nabet, Massinissa ; Yadav, Sachin ; Raskin, Jean-Pierre ; Parvais, Bertrand ; Emam, Mostafa. GaN-on-Porous Silicon for RF Applications. 2023 53rd European Microwave Conference (EuMC) (Berlin, Germany, du 19/09/2023 au 21/09/2023). In: 2023 53rd European Microwave Conference (EuMC), , p. 842-845 (2023). doi:10.23919/EuMC58039.2023.10290465.
Nabet, Massinissa ; Rack, Martin ; Huet, Benjamin ; Tuyaerts, Romain ; Scheen, Gilles ; Raskin, Jean-Pierre. High Resistivity Trap-Rich Substrate for RF MEMS Switches. 2023 Symposium on Design, Test, Integration & Packaging of MEMS/MOEMS (DTIP) (Valetta, Malta, du 28/05/2023 au 31/05/2023). In: 2023 Symposium on Design, Test, Integration & Packaging of MEMS/MOEMS (DTIP), 2023. 979-8-3503-4131-7. doi:10.1109/DTIP58682.2023.10267954.
Francis, Laurent ; Roisin, Nicolas ; Colla, Marie-Stéphane ; Flandre, Denis ; Raskin, Jean-Pierre. Improving the determination of strain in the deformed Silicon measured by Raman spectroscopy. International Meeting on Optical Measurement Techniques and Industrial Applications (Delft (Netherlands), du 28/03/2023 au 30/03/2023). In: International Meeting on Optical Measurement Techniques and Industrial Applications, 2023.
Roisin, Nicolas ; Raskin, Jean-Pierre ; Flandre, Denis. Near-IR response of highly-strained Si photodetector linking first principles and TCAD. ESSDERC-ESSCIRC 2023 (Lisbon, du 11/09/2023 au 14/09/2023). In: ESSDERC 2023 - IEEE 53rd European Solid-State Device Research Conference (ESSDERC), Vol. 1, no.1, p. 1-9 (2023). doi:10.1109/ESSDERC59256.2023.10268568.
Scheen, Gilles ; Tuyaerts, Romain ; Van Overmeere, Quentin ; Whyte Ferreira, Clara ; Rasson, Jonathan ; Raskin, Jean-Pierre. Post-fab porosification : challenges and advances. SCOPe 2023 (Louvain-la-Neuve, Belgium, du 09/11/2023 au 10/11/2023).
Perrosé, M. ; Acosta Alba, P. ; Moulin, Maxime ; Augendre, E. ; Lugo, J. ; Raskin, Jean-Pierre ; Reboh, S.. RF figures of merit of polysilicon trap-rich layers formed locally by ion amorphization and nanosecond laser annealing. IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF 2023 (Las Vegas, Nevada, USA, du 22/01/2023 au 25/01/2023).
Courte, Quentin ; Rack, Martin ; Lederer, Dimitri ; Raskin, Jean-Pierre. SPST and SPDT 60 GHz Travelling-Wave Switches in 22 nm FD-SOI. 18th European Microwave Integrated Circuits Conference (EuMIC) (Berlin, Germany, du 18/09/2023 au 19/09/2023). In: 18th European Microwave Integrated Circuits Conference (EuMIC), 2023. 978-2-87487-073-6.
Ma, Shiqi ; L. Nyssens ; Raskin, Jean-Pierre ; Lederer, Dimitri. Sub-mmWave Transmission Lines on Silicon-Based Technologies. 53rd European Microwave Conference (Berlin, Germany, 30/11/2023). In: 53rd European Microwave Conference, 2023. 978-2-87487-072-9.
Bendou, Youssef ; Rack, Martin ; Lederer, Dimitri ; cathelin, Andreia ; Raskin, Jean-Pierre. Substrate noise mitigation using high resistivity base silicon wafer for a 14 GHz VCO on 28 nm FD-SOI. 2023 21st IEEE Interregional NEWCAS Conference (NEWCAS) (Edinburgh, UK, du 26/06/2023 au 28/06/2023). In: 2023 21st IEEE Interregional NEWCAS Conference (NEWCAS), 2023. 979-8-3503-0024-6. doi:10.1109/NEWCAS57931.2023.10198044.
Tian, Zhenming ; Zhang, Meng ; Ma, Shiqi ; Sievert, Benedikt ; Yuan, Hui ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Roskos, Hartmut ; Erni, Daniel ; Rennings, Andreas. The Concept of a Large-scale Subharmonic Coherent Detector Array at 600 GHz. 2023 Asian Pacific Microwave Conference (Taiwan, du 05/12/2023 au 08/12/2023). In: Proceedings of 2023 Asian Pacific Microwave Conference, (2023).
Bidoul, Noémie ; Huet, Benjamin ; Ureña Begara, Ferran ; Raskin, Jean-Pierre ; Flandre, Denis. Tuning the stochasticity of VO2 neurons firing-threshold through grain size engineering. NeuMatDeCaS 2023 (Valencia, Spain, du 23/01/2023 au 25/01/2023). In: Proceedings of Neuromorphic Materials, Devices, Circuits and Systems, 2023, p. 050. doi:10.29363/nanoge.neumatdecas.2023.050.
L. Nyssens ; Rack, Martin ; Tuyaerts, Romain ; Lederer, Dimitri ; Raskin, Jean-Pierre. Verification of Reference Impedance from Common On-Wafer Calibrations on Commercial Calibration Substrates. 101st ARFTG Microwave Measurement Conference (ARFTG) (San Diego, USA, 30/11/2023).
Khiara, Nargisse ; Onimus, Fabien ; Crocombette, Jean-Paul ; Dupuy, Laurent ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Bréchet, Yves. A molecular dynamics study of a cascade induced irradiation creep mechanism in pure copper. In: Journal of Nuclear Materials, Vol. 560, p. 153518 (2022). doi:10.1016/j.jnucmat.2022.153518.
Vanbrabant, Martin ; Nyssens, Lucas ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Back-Gate Lumped Resistance Effect on AC Characteristics of FD-SOI MOSFET. In: IEEE Microwave and Wireless Components Letters, Vol. 32, no.6, p. 704-707 (2022). doi:10.1109/lmwc.2022.3162497.
Shaik, Rameez Raja ; Chandrasekar, L. ; Raskin, Jean-Pierre ; Pradhan, K.P.. Back-gate bias effect on the linearity of pocket doped FDSOI MOSFET. In: Microelectronics Journal, Vol. 121, p. 105365 (2022). doi:10.1016/j.mejo.2022.105365.
Yan, Yiyi ; Kilchytska, Valeriya ; Bin, Wang ; Faniel, Sébastien ; Zeng, Yun ; Raskin, Jean-Pierre ; Flandre, Denis. Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors. In: Microelectronic Engineering, Vol. 254, no.111708, p. 7 (2022). doi:10.1016/j.mee.2022.111708.
Bertrand, Isabelle ; Flatresse, Philippe ; Besnard, Guillaume ; Bethoux, Jean-Marc ; Chalupa, Zdenek ; Plantier, Christophe ; Rack, Martin ; Nabet, Massinissa ; Raskin, Jean-Pierre ; Allibert, Frederic. Development Of High Resistivity FD-SOI Substrates for mmWave Applications. In: ECS Transactions, Vol. 108, no.5, p. 31-45 (2022). doi:10.1149/10805.0031ecst.
Tang, Xiaohui ; Raskin, Jean-Pierre ; Reckinger, Nicolas ; Yan, Yiyi ; André,Nicolas ; Lahem, Driss ; Debliquy, Marc. Enhanced Gas Detection by Altering Gate Voltage Polarity of Polypyrrole/Graphene Field-Effect Transistor Sensor. In: Chemosensors, Vol. 10, no.11, p. 467 (2022). doi:10.3390/chemosensors10110467.
Velosa-Moncada, Luis A. ; Raskin, Jean-Pierre ; Aguilera-Cortés, Luz Antonio ; López-Huerta, Francisco ; Herrera-May, Agustín L.. Estimation of the Young’s Modulus of Nanometer-Thick Films Using Residual Stress-Driven Bilayer Cantilevers. In: Nanomaterials, Vol. 12, no.2, p. 265 (2022). doi:10.3390/nano12020265.
Vanbrabant, Martin ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Experimental study of thermal coupling effects in FD-SOI MOSFET. In: Solid-State Electronics, Vol. 194, no.108362, p. 4 (2022). doi:10.1016/j.sse.2022.108362.
Raskin, Jean-Pierre. Fully Depleted SOI Technology for Millimeter-Wave Integrated Circuits. In: IEEE Journal of the Electron Devices Society, Vol. 10, p. 424-434 (2022). doi:10.1109/jeds.2022.3165877.
Courte, Quentin ; Rack, Martin ; Nabet, Massinissa ; Cardinael, Pieter ; Raskin, Jean-Pierre. High-Temperature Characterization of Multiple Silicon-Based Substrate for RF-IC Applications. In: IEEE Journal of the Electron Devices Society, Vol. 10, no.1, p. 620-626 (2022). doi:10.1109/jeds.2022.3188893.
Moulin, M. ; Rack, Martin ; Fache, T. ; Chalupa, Z. ; Plantier, C. ; Morand, Y. ; Lacord, J. ; Allibert, F. ; Gaillard, F. ; Lugo, J. ; Hutin, L. ; Raskin, Jean-Pierre. High-resistivity silicon-based substrate using buried PN junctions towards RFSOI applications. In: Solid-State Electronics, Vol. 194, p. 108301 (2022). doi:10.1016/j.sse.2022.108301.
Nyssens, Lucas ; Rack, Martin ; Schwan, Christophe ; Zhao, Zhixing ; Lehmann, Steffen ; Hermann, Tom ; Allibert, Frederic ; Aulnette, Cécile ; Lederer, Dimitri ; Raskin, Jean-Pierre. Impact of substrate resistivity on spiral inductors at mm-wave frequencies. In: Solid-State Electronics, Vol. 194 (2022). doi:10.1016/j.sse.2022.108377.
Yan, Yiyi ; Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre. Investigation and optimization of traps properties in Al2O3/SiO2 dielectric stacks using conductance method. In: Solid-State Electronics, Vol. 194, p. 4 (2022). doi:10.1016/j.sse.2022.108347.
Roisin, Nicolas ; Delhaye, Thibault ; André, Nicolas ; Raskin, Jean-Pierre ; Flandre, Denis. Low-Power Silicon Strain Sensor Based on CMOS Current Reference Topology. In: Low-Power Silicon Strain Sensor Based on CMOS Current Reference Topology, Vol. 339, no.113491, p. 1-11 (2022). doi:10.1016/j.sna.2022.113491.
Cardinael, Pieter ; Yadav, Sachin ; Zhao, Ming ; Rack, Martin ; Lederer, Dimitri ; Collaert, Nadine ; Parvais, Bertrand ; Raskin, Jean-Pierre. Time Dependence of RF Losses in GaN-on-Si Substrates. In: IEEE Microwave and Wireless Components Letters, Vol. 32, no.6, p. 688-691 (2022). doi:10.1109/lmwc.2022.3162028.
Tounsi, Fares ; Hadj Said, Mohamed ; Hauwaert, Margo ; Kaziz, Sinda ; Francis, Laurent ; Raskin, Jean-Pierre ; Flandre, Denis. Variation Range of Different Inductor Topologies with Shields for RF and Inductive Sensing Applications. In: Sensors, Vol. 22, no.9, p. 15 (2022). doi:10.3390/s22093514.
Merle, Stéphanie ; Raskin, Jean-Pierre. Les techniques pédagogiques critiques de l’éducation à la citoyenneté mondiale. In: Cécile Giraud, Gautier Pirotte, Daniel Faulx, Manuel d'éducation à la citoyenneté mondiale Une perspective belge (Cours universitaires; SOC000000 SOCIAL SCIENCE), Presses universitaires de Louvain: Louvain, 2022, p. 262. 978-2-39061-248-3.
Halder, Arka ; Nyssens, Lucas ; Rack, Martin ; Lederer, Dimitri ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. 22 nm FD-SOI MOSFET Figures of Merit at high temperatures upto 175 °C. 2022 IEEE 22nd Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF) (Las Vegas, NV, USA, du 16/1/2022 au 19/1/2022). In: SiRF 2022 Proceedings, IEEE, 2022. 978-1-6654-3469-0, p. 27-30. doi:10.1109/sirf53094.2022.9720052.
Nabet, Massinissa ; Rack, Martin ; Nyssens, Lucas ; Raskin, Jean-Pierre ; Lederer, Dimitri. 28 GHz Down-Conversion Mixer with RF Back-Gate Excitation Topology in 22-nm FD-SOI. 17th European Microwave Integrated Circuits Conference (Milan, Italy, du 26/09/2022 au 27/09/2022). In: Proceedings of the 17th European Microwave Integrated Circuits Conference, 2022. 978-2-87487-070-5.
Nyssens, Lucas ; Rack, Martin ; Wane, S. ; Schwan, C. ; Lehmann, S. ; Zhao, Z. ; Lucci, L. ; Lugo-Alvarez, J. ; Gaillard, F. ; Raskin, Jean-Pierre ; Lederer, Dimitri. A 2.5-2.6 dB Noise Figure LNA for 39 GHz band in 22 nm FD-SOI with Back-Gate Bias Tunability. 17th European Microwave Integrated Circuits Conference (Milan, Italy, du 26/09/2022 au 27/09/2022). In: Proceedings of the 17th European Microwave Integrated Circuits Conference, 2022. 978-2-87487-070-5.
Rack, Martin ; Nyssens, Lucas ; Courte, Quentin ; Lederer, Dimitri ; Raskin, Jean-Pierre. A DC-120 GHz SPDT Switch Based on 22 nm FD-SOI SLVT NFETs with Substrate Isolation Rings Towards Increased Shunt Impedance. 2022 IEEE RFIC Symposium (Denver, Colorado, USA, du 19/06/2022 au 21/06/2022). In: 2022 IEEE RFIC Symposium, 2022. 978-1-6654-9611-7/22. doi:10.1109/RFIC54546.2022.9863217.
Pardoen, Thomas ; Jaddi, S. ; Malik, Mohammad Wasil ; Wang, B. ; Coulombier, Michaël ; Raskin, Jean-Pierre. A crack-on-chip fracture mechanics method for freestanding ultra-thin films from brittle to ductile down to 2D materials. 18th European Mechanics of Materials Conference - EMMC18 (Oxford, UK, du 04/04/2022 au 06/04/2022). In: 18th European Mechanics of Materials Conference - EMMC18, 2022.
Vanbrabant, Martin ; Nyssens, Lucas ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Back-gate lumped resistance effect on AC characteristics of FD-SOI MOSFET. International Microwave Symposium - IMS 2022 (Denver, Colorado, USA, du 12/06/2022 au 17/06/2022).
Banaï, M. ; Contino, Francesco ; Ducarme, Delphine ; Malcourant, E. ; Raskin, Jean-Pierre. Collaborations entreprises – universités : un levier vers un changement systémique ?. Questions de Pédagogie dans l'Enseignement Supérieur (La Rochelle, France, du 18/01/2022 au 20/01/2022).
Raskin, Jean-Pierre. Comments pertaining to holistic approaches to solve technical problems in a sustainable way. The societal responsibility of engineers and the role of Engineering Schools. Euro-CASE conference, "Technical Innovation for the Common Good of Humanity" (Académie Royale, Brussels, Belgium, 19/09/2022).
Bidoul, Noémie ; Huet, Benjamin ; Ureña-Begara, Ferran ; Raskin, Jean-Pierre ; Flandre, Denis. Control of sputtered VO2 thin film grain size through O2 concentration. Micro and Nano Engineering conference (MNE) EUROSENSORS 2022 (Leuven, Belgium, du 19/09/2022 au 23/09/2022).
Abouhadid, F. ; Kermouche, G. ; Sao-Joao, S. ; Dreano, A. ; Mollon, G. ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Fouvry, S. ; Guillonneau, G.. Design & performance of micro shear-compression specimen: understanding geometrical and material coupling. ECI Nanomechanical Testing in Materials Research and Development VIII (Split, Croatia, du 2/10/2022 au 7/10/2022).
Nyssens, Lucas ; Rack, Martin ; Lederer, Dimitri ; Raskin, Jean-Pierre. Effect of probe coupling on MOSFET series resistance extraction up to 110 GHz. 2022 IEEE Latin America Electron Devices Conference (LAEDC) (Puebla, Mexico, du 04/07/2022 au 06/07/2022). In: 2022 IEEE Latin America Electron Devices Conference (LAEDC), 2022. 978-1-6654-9767-1/22.
Wane, S. ; Ferrero, F. ; Sombrin, J. ; Tombakdjian, L. ; Bajon, D. ; Ratajczak, P. ; Molina, F. ; Rack, Martin ; Nyssens, Lucas ; Raskin, Jean-Pierre ; Lederer, Dimitri ; Craeye, Christophe. Energy-Efficient RF-Optics Multi-Beam Systems Using Correlation Technologies: Toward Hybrid GaN-FDSOI Front-End-Modules. 2022 IEEE Texas Symposium on Wireless and Microwave Circuits and Systems (Texas, USA, du 19/04/2022 au 20/04/2022). In: Proceedings of the 2022 IEEE Texas Symposium on Wireless and Microwave Circuits and Systems, 2022. 978-1-6654-8609-5.
Vanbrabant, Martin ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Experimental study of thermal coupling effects in FD-SOI MOSFET. The 8th Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon - EuroSOI-ULIS'2022 (Udine, Italy, du 18/05/2022 au 20/05/2022). In: The 8th Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon - EuroSOI-ULIS'2022, 2022.
Jaddi, S. ; Coulombier, Michaël ; Idrissi, H. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Fracture properties and environmentally assisted subcritical crack growth of thin freestanding Al2O3, SiO2 and SiN films using residual stress-induced crack-on-a-chip testing technique. European Conference on Fracture 2022 - ECF23 (Funchal, Madeira, Portugal, du 27/06/2022 au 01/07/2022).
Francis, Laurent ; Moumneh, Ramy ; Hanus, Romain ; Le Brun, Grégoire ; Raskin, Jean-Pierre. Harvesting the blue energy using paper-based microfluidics. Euro-Mediterranean Conference on Materials, Devices and Systems - EMCM-DS 2022 (Fez, Morocco, du 26/10/2022 au 28/10/2022). In: Euro-Mediterranean Conference on Materials, Devices and Systems - EMCM-DS 2022, 2022.
Yan, Yiyi ; Reckinger, Nicolas ; Kilchytska, Valeriya ; Flandre, Denis ; Tang, Xiaohui ; Malik, Mohammad Wasil ; Hackens, Benoît ; Raskin, Jean-Pierre. Hexagonal Boron Nitride Memristor based on a nanogap self-formed by silicidation. Mini Colloquia (MQ) on "Memristive Devices", The 6th Symposium on Schottky Barrier MOS Devices (SSBMOS) (Giessen, Germany, du 7/09/2022 au 8/09/2022).
Rack, Martin ; Nyssens, Lucas ; Nabet, Massinissa ; Lederer, Dimitri ; Raskin, Jean-Pierre. High-Resistivity substrates with PN interface passivation in 22 nm FD-SOI. The 2022 International Symposium on VLSI Technology Systems and Applications (VLSI-TSA) (Hsincu, Taiwan, du 18/04/2022 au 21/04/2022). In: The 2022 International Symposium on VLSI Technology Systems and Applications (VLSI-TSA), 2022. 978-1-6654-0923-0. doi:10.1109/VLSI-TSA54299.2022.9771028.
Mai, T. ; Leenaers, A. ; Wight, J. ; Pardoen, Thomas ; Van den Berghe, S. ; André, Nicolas ; Coulombier, N. ; Raskin, Jean-Pierre. Holistic approach to investigate burn-up dependent thermal conductivity in dispersed fuel plates. The Nuclear Materials Conference – NuMat 2022 (Ghent, Belgium, du 24/10/2022 au 28/10/2022).
Nyssens, Lucas ; Rack, Martin ; Schwan, C. ; Zhao, Z. ; Lehmann, S. ; Hermann, T. ; Allibert, F. ; Aulnette, C. ; Lederer, Dimitri ; Raskin, Jean-Pierre. Impact of substrate resistivity on spiral inductors at mm-wave frequencies. The 8th Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon - EuroSOI-ULIS'2022 (Udine, Italy, du 18/05/2022 au 20/05/2022). In: The 8th Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon - EuroSOI-ULIS'2022, 2022.
Merle, Stéphanie ; Raskin, Jean-Pierre. IngénieuxSud - Study, design and installation of street lighting and a nursery in Dwale, Democratic Republic of Congo. 3rd Uniservitate Global Symposium (Rome, Italy, du 26/10/2022 au 30/10/2022).
Raskin, Jean-Pierre ; Merle, Stéphanie. IngénieuxSud – When Northern-Southern students act together for a sustainable and fair world. The 2022 ACEEU Stakeholders Forum (Florence, Italy, du 27/06/2022 au 29/06/2022).
Raskin, Jean-Pierre. Internet-of-Things (IoT) for the best and the worst. Electronics for Sustainable Societies – ESS 2022 (Liverpool, UK, du 14/09/2022 au 16/09/2022).
Yan, Yiyi ; Kilchytska, Valeriya ; Faniel, Sébastien ; Flandre, Denis ; Raskin, Jean-Pierre. Investigation and optimization of traps properties in Al2O3/SiO2 dielectric stacks. The 8th Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon - EuroSOI-ULIS'2022 (Udine, Italy, du 18/05/2022 au 20/05/2022). In: The 8th Joint International EuroSOI Workshop and International Conference on Ultimate Integration on Silicon - EuroSOI-ULIS'2022, 2022.
Moulin, Maxime ; Rack, Martin ; Fache, T. ; Nabet, Massinissa ; Chalupa, Z. ; Plantier, C. ; Allibert, F. ; Lugo, J. ; Hutin, L. ; Raskin, Jean-Pierre. Nox and buried PN junctions effect on RF performance of High-Resistivity Silicon substrates. The 22nd IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’22 (Las Vegas, USA, du 16/01/2022 au 19/01/2022). In: 2022 IEEE 22nd Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), 2022. 978-1-6654-3469-0. doi:10.1109/SiRF53094.2022.9720037.
Roisin, Nicolas. Optical performances prediction of highly strained silicon photodetector. 7th edition of the silicon photonics Summer School (C2N Paris-Saclay, France, du 20/06/2022 au 24/06/2022).
Nyssens, Lucas ; Rack, Martin ; Nabet, Massinissa ; Schwan, C. ; Zhao, Z. ; Lehmann, S. ; Raskin, Jean-Pierre ; Lederer, Dimitri. PN Junctions Interface Passivation in 22 nm FD- SOI for Low-Loss Passives. 24th International Microwave and Radar Conference (MIKON) (Gdansk, Poland, du 12/09/2022 au 14/09/2022). In: 24th International Microwave and Radar Conference (MIKON), 2022. 978-83-956020-3-0. doi:10.23919/MIKON54314.2022.9924803.
Roisin, Nicolas ; Colla, Marie-Stéphane ; Flandre, Denis ; Raskin, Jean-Pierre. Raman Shift Prediction from First Principles in Highly-Strained Silicon. ICOOPMA 2022 (Gent, du 04/07/2022 au 08/07/2022).
Raskin, Jean-Pierre. SOI CMOS technologies for RF and millimeter-wave communication systems. 2022 International Conference on IC Design and Technology – ICICDT 2022 (Hanoi, Vietnam, du 21/09/2022 au 23/09/2022).
Raskin, Jean-Pierre. SOI technologies for RF and millimeter-wave integrated circuits. International Conference on Recent Advances in Electrical, Electronics, Ubiquitous Communication & Computational Intelligence – (Chennai, Tamilnadu, India (online/virtual mode), du 22/04/2022 au 24/04/2022).
Wane, S. ; Tran, Q. H. ; Dinh, T. V. ; Eddine, J. A. ; Duffourg, F. ; Ndagijimana, F. ; Bajon, D. ; Terki, F. ; Rioult, J. ; Darroman, J.-L. ; Bonnaire, L. ; Montfort, O. ; Huard, V. ; Raskin, Jean-Pierre ; Lederer, Dimitri ; Bousseksou, A.. Spintronics Technology Solutions for Interferometric Thermal-Electromagnetic Sensing. 2022 IEEE Texas Symposium on Wireless and Microwave Circuits and Systems (Texas, USA, du 19/04/2022 au 20/04/2022). In: Proceedings of the 2022 IEEE Texas Symposium on Wireless and Microwave Circuits and Systems, 2022. 978-1-6654-8609-5/22.
de Leuze, Oriane ; Danlée, Yann ; Tang, Xiaohui ; Mahy, J. ; Walewyns, Thomas ; Raskin, Jean-Pierre ; Hermans, Sophie ; Francis, Laurent. Sub-ppm detection of H2S with CuO-loaded SnO2 hollow nanospheres deposited on interdigitated electrodes. ISOEN 2022 - International Symposium on Olfaction and Electronic Nose (Aveiro (Portugal), du 26/05/2022 au 29/05/2022).
Yadav, S. ; Cardinael, Pieter ; Zhao, M. ; Vondkar, K. ; Peralagu, U. ; Alian, A. ; Rodriguez, R. ; Khaled, A. ; Makovejev, S. ; Ekoga, E. ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Parvais, B. ; Collaert, N.. Substrate effects in GaN-on-Si HEMT technology for RF FEM applications. The 242nd ElectroChemical Society Meeting – ECS 2022 (Atlanta, GA, USA, du 09/10/2022 au 13/10/2022). In: The 242nd ElectroChemical Society Meeting – ECS 2022, 2022, p. Poster P3.42. doi:10.1149/MA2022-02321208mtgabs.
Pirson, Thibault ; Delhaye, Thibault ; Pip, Alex ; Le Brun, Grégoire ; Raskin, Jean-Pierre ; Bol, David. The Environmental Footprint of IC Production: Meta-Analysis and Historical Trends. ESSDERC 2022 - IEEE 52nd European Solid-State Device Research Conference (ESSDERC) (Milan, Italy, du 19/9/2022 au 22/9/2022). In: ESSDERC 2022 - IEEE 52nd European Solid-State Device Research Conference (ESSDERC), 2022. 978-1-6654-8497-8, pp. 352-355. doi:10.1109/essderc55479.2022.9947198.
Merle, Stéphanie ; Raskin, Jean-Pierre. The stakeholder’s objectives divergence in a service learning course as source of tension and learning for students. 5th European Conference on Service-Learning in Higher Education - ECSLHE 2022 (On-line conference, du 6/10/2022 au 7/10/2022).
Cardinael, Pieter ; Yadav, Sachin ; Zhao, Ming ; Rack, Martin ; Lederer, Dimitri ; Collaert, Nadine ; Parvais, Bertrand ; Raskin, Jean-Pierre. Time Dependence of RF Losses in GaN-on-Si Substrates . International Microwave Symposium 2022 (Denver, CO, United States of America, du 17/06/2022 au 24/06/2022). doi:10.1109/LMWC.2022.3162028.
Wang, Bin ; Malik, Mohammad Wasil ; Yan, Yiyi ; Kilchytska, Valeriya ; Zeng, Yun ; Flandre, Denis ; Raskin, Jean-Pierre. A Physical Model of Contact Resistance in Ti-Contacted Graphene-Based Field Effect Transistors. In: IEEE Transactions on Electron Devices, Vol. 68, no.2, p. 892-898 (2021). doi:10.1109/TED.2020.3046166.
Tang, Xiaohui ; Debliquy, Marc ; Lahem, Driss ; Yan, Yiyi ; Raskin, Jean-Pierre. A Review on Functionalized Graphene Sensors for Detection of Ammonia. In: Sensors, Vol. 2021, no.21 (4), p. 1443 (2021). doi:10.3390/s21041443.
Vandermolen, Eric ; Ferrandis, Philippe ; Allibert, Frédéric ; Nabet, Massinissa ; Rack, Martin ; Raskin, Jean-Pierre ; Cassé, Mikaël. Characterization and role of deep traps on the radio frequency performances of high resistivity substrates. In: Journal of Applied Physics, Vol. 129, no.21, p. 215701 (2021). doi:10.1063/5.0045306.
Moreau, Nicolas ; Pirson, Thibault ; Le Brun, Grégoire ; Delhaye, Thibault ; Sandu, Georgiana ; Paris, Antoine ; Bol, David ; Raskin, Jean-Pierre. Could Unsustainable Electronics Support Sustainability. In: Sustainability, Vol. 13, no. 6541, p. 7 (2021). doi:10.3390/su13126541.
Le Brun, Grégoire ; Hauwaert, Margo ; Leprince, Audrey ; Glinel, Karine ; Mahillon, Jacques ; Raskin, Jean-Pierre. Electrical Characterization of Cellulose-Based Membranes towards Pathogen Detection in Water. In: Biosensors, Vol. 11, no.2, p. 57 (2021). doi:10.3390/bios11020057.
Kilchytska, Valeriya ; Makovejev, Sergej ; Esfeh, Babak Kazemi ; Nyssens, Lucas ; Halder, Arka ; Raskin, Jean-Pierre ; Flandre, Denis. Extensive Electrical Characterization Methodology of Advanced MOSFETs Towards Analog and RF Applications. In: I E E E Journal of the Electron Devices Society, Vol. 9, p. 500-510 (2021). doi:10.1109/JEDS.2021.3057798.
Halder, Arka ; Nyssens, Lucas ; Rack, Martin ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Heat sink implementation in back-end of line for self-heating reduction in 22 nm FDSOI MOSFETs. In: Solid-State Electronics, Vol. 184, p. 108088 (2021). doi:10.1016/j.sse.2021.108088.
Morelle, Alban ; Vandermolen, Eric ; Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Flandre, Denis. Improved Split CV Mobility Extraction in 28 nm Fully Depleted Silicon on Insulator Transistors. In: IEEE Electron Device Letters, Vol. 42, no.5, p. 661-664 (2021). doi:10.1109/LED.2021.3065002.
Khiara, Nargisse ; Onimus, Fabien ; Jublot-Leclerc, Stéphanie ; Jourdan, Thomas ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Bréchet, Yves. In-situ TEM irradiation creep experiment revealing radiation induced dislocation glide in pure copper. In: Acta Materialia, Vol. 216, p. 117096 (2021). doi:10.1016/j.actamat.2021.117096.
Roisin, Nicolas ; Brunin, Guillaume ; Rignanese, Gian-Marco ; Flandre, Denis ; Raskin, Jean-Pierre. Indirect light absorption model for highly strained silicon infrared sensors. In: Journal of Applied Physics, Vol. 30, no.5, p. 30 (2021). doi:10.1063/5.0057350 (Accepté/Sous presse).
Rack, Martin ; Frédéric Allibert ; Raskin, Jean-Pierre. Modeling of Semiconductor Substrates for RF Applications: Part II—Parameter Impact on Harmonic Distortion. In: IEEE Transactions on Electron Devices, Vol. 68, no.9, p. 4606-4613 (2021). doi:10.1109/ted.2021.3096781.
Rack, Martin ; Frédéric Allibert ; Raskin, Jean-Pierre. Modeling of Semiconductor Substrates for RF Applications: Part I—Static and Dynamic Physics of Carriers and Traps. In: IEEE Transactions on Electron Devices, Vol. 68, no.9, p. 4598-4605 (2021). doi:10.1109/ted.2021.3096777.
Rajkumar Jaiswar ; Mederos Henry, Francisco ; Hermans, Sophie ; Raskin, Jean-Pierre ; Huynen, Isabelle. Nonlinear electrical transport in Fe3O4-decorated graphene nanoplatelets. In: Journal of Physics D: Applied Physics, Vol. 54, no.6, p. 065304 (2021). doi:10.1088/1361-6463/abc2f2.
Ghidelli, Matteo ; Orekhov, Andrey ; Bassi, A. Li ; Terraneo, G. ; Djemia, P. ; Abadias, G. ; Nord, M. ; Béché, A. ; Gauquelin, N. ; Verbeeck, J. ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas ; Idrissi, Hosni. Novel class of nanostructured metallic glass films with superior and tunable mechanical properties. In: Acta Materialia, Vol. 213, p. 116955 (2021). doi:10.1016/j.actamat.2021.116955.
Nyssens, Lucas ; Rack, Martin ; Halder, Arka ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. On the Separate Extraction of Self-Heating and Substrate Effects in FD-SOI MOSFET. In: IEEE Electron Device Letters, Vol. 42, no.5, p. 665-668 (2021). doi:10.1109/led.2021.3071272.
Jaddi, Sahar ; Raskin, Jean-Pierre ; Pardoen, Thomas. On‑chip environmentally assisted cracking in thin freestanding SiO2 films. In: Journal of Materials Research, Vol. 36, no. 12, p. 2479-2494 (2021). doi:10.1557/s43578-021-00189-3.
Vercauteren, Roselien ; Scheen, Gilles ; Raskin, Jean-Pierre ; Francis, Laurent. Porous silicon membranes and their applications: Recent advances. In: Sensors and Actuators A: Physical, Vol. 318, no. 112486, p. 20 (2021). doi:10.1016/j.sna.2020.112486.
Baral, Paul ; Orekhov, Andrey ; Dohmen, Ralf ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Cordier, Patrick ; Idrissi, Hosni ; Pardoen, Thomas. Rheology of amorphous olivine thin films characterized by nanoindentation. In: Acta Materialia, Vol. 219, p. 117257 (2021). doi:10.1016/j.actamat.2021.117257.
Bahrami, Farzaneh ; Hammad, Mohammed ; Fivel, Marc ; Huet, Benjamin ; D'Haese, Cécile ; Ding, L. ; Nysten, Bernard ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Single layer graphene controlled surface and bulk indentation plasticity in copper. In: International Journal of Plasticity, Vol. 138, no.March 2021, p. 102936 (2021). doi:10.1016/j.ijplas.2021.102936.
Ernst, Thomas ; Raskin, Jean-Pierre. Towards circular ICT: from materials to components. In: HiPEAC Vision 2021, , p. 122-129 (2021). doi:10.5281/zenodo.4719642.
Jaddi, Sahar ; Malik, Mohammad Wasil ; Wang, Bin ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. A crack-on-chip testing method applied to freestanding ultra-thin films from brittle to ductile down to 2D materials. European Congress and Exhibition on Advanced Materials and Process - EUROMAT 2021 (Graz, Austria, du 12/09/2021 au 16/09/2021).
Khiara, Nargisse ; Onimus, Fabien ; Dupuy, Laurent ; Crocombette, Jean-Paul ; Jublot-Leclerc, Stéphanie ; Jourdan, Thomas ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Bréchet, Yves. A novel displacement cascade driven irradiation creep mechanism in pure copper. 2021 TMS Annual Meeting & Exhibition (Orlando, FL, USA, du 14/03/2021 au 18/03/2021).
Kilchytska, Valeriya ; Makovejev, Sergei ; Esfeh, Babak Kazemi ; Nyssens, Lucas ; Halder, Arka ; Raskin, Jean-Pierre ; Flandre, Denis. Advanced MOSFETs Electrical Characterization for Further Analog and RF applications. SBMicro (virtual conference, 28/08/2021).
Vanbrabant, Martin ; Nyssens, Lucas ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Assessment of RF compact modelling of FD SOI transistors. 2021 IEEE Latin America Electron Devices Conference (LAEDC) (Mexico, Mexico, du 19/4/2021 au 21/4/2021). In: IEEE Latin America Electron Devices Conference, (2021). doi:10.1109/laedc51812.2021.9437955.
Nyssens, Lucas ; Rack, Martin ; Halder, Arka ; Vanbrabant, Martin ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Back-Gate Network Extraction Free from Dynamic Self-Heating in FD SOI. 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) (Hsinchu, Taiwan, du 19/4/2021 au 22/4/2021). In: Proceedings of VLSI-TSA, , p. 1-2 (2021). doi:10.1109/vlsi-tsa51926.2021.9440125.
Delhaye, Thibault P. ; Le Brun, Grégoire ; Flandre, Denis ; Raskin, Jean-Pierre. Bottom-Up Life-Cycle Assessment of MEMS Piezoresistive Pressure Sensors. 2021 Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS (DTIP) (Paris, France, du 25/8/2021 au 27/8/2021). In: Proceedings, IEEE, 2021. 978-1-6654-0230-9, p. 1-6. doi:10.1109/dtip54218.2021.9568683.
Delhaye, Thibault ; Le Brun, Grégoire ; Flandre, Denis ; Raskin, Jean-Pierre. Bottom-Up Life-Cycle Assessment of MEMS Piezoresistive Pressure Sensors. Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (Virtual event, du 25/08/2021 au 27/08/2021).
Yadav, S. ; Cardinael, Pieter ; Zhao, M. ; Peralagu, U. ; Alian, A. ; Khaled, A. ; Makovejev, S. ; Ekoga, E. ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Parvais, B. ; Collaert, N.. CMOS compatible GaN-on-Si HEMT technology for RF applications: analysis of substrate losses and non-linearities. International Conference on IC Design and Technology 2021 (Dresden, Germany, du 15/09/2021 au 17/09/2021).
Pirson, Thibault ; Bol, David ; Le Brun, Grégoire ; Raskin, Jean-Pierre. Can we cope with the upcoming massive deployment of IoT within environmental limits?. Educational workshop of IEEE ESSDERC (Grenoble (France), du 06/09/2021 au 09/09/2021).
Vandermolen, Eric ; Ferrandis, Philippe ; Allibert, Frédéric ; Augendre, Emmanuel ; Nabet, Massinissa ; Rack, Martin ; Raskin, Jean-Pierre ; Cassé, Mikaël. Characterization of LPCVD polycrystalline silicon trap-rich based substrates for RF applications. The 31st International Conference on Defects in Semiconductors - ICDS-31 (Oslo, Norway, du 26/07/2021 au 30/07/2021).
Vandermolen, Eric ; Ferrandis, Philippe ; Allibert, Frédéric ; Nabet, Massinissa ; Rack, Martin ; Raskin, Jean-Pierre ; De Groot, C.H. (Kees) ; Cassé, Mikaël. Characterization of traps in high resistivity silicon substrates and link with Radio Frequency performances. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Le Brun, Grégoire ; Hauwaert, Margo ; Adant, I. ; Raskin, Jean-Pierre. Conception responsable de capteurs de qualité de l’eau. Conference on interdisciplinary and transdisciplinary research for sustainable development (Louvain-la-Neuve, Belgium, du 26/11/2021 au 26/11/2021). In: Conception responsable de capteurs de qualité de l’eau, 2021.
Khiara, Nargisse ; Coulombier, Michaël ; Onimus, Fabien ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Bréchet, Yves. Creep behavior of helium implanted submicron films under irradiation. 2021 TMS Annual Meeting & Exhibition (Orlando, FL, USA, du 14/03/2021 au 18/03/2021).
Merle, Stéphanie ; Mbuyi Katshiatshia, Haddy ; Raskin, Jean-Pierre. De la coopération à la collaboration universitaire : des étudiants congolais et belges engagés auprès des acteurs sociaux et économiques de la RD Congo. La Coopération universitaire au développement à l’épreuve de l’après Covid-19 (RDC, Kinshasa, du 22/11/2021 au 25/11/2021). In: La Coopération universitaire au développement à l’épreuve de l’après Covid-19, 2021.
Yan, Yiyi ; Flandre, Denis ; Kilchytska, Valeriya ; Faniel, Sébastien ; Tang, Xiaohui ; Raskin, Jean-Pierre. Determination of Carrier Lifetime in Silicon Using an Ultra-thin Al2O3/SiO2 Dielectric Stack. 2021 IEEE Latin America Electron Devices Conference (LAEDC) (Virtual conference, du 19/04/2021 au 21/04/2021). In: Proceedings of the 2021 IEEE Latin America Electron Devices Conference (LAEDC), 2021.
Colla, Marie-Stéphane ; Lumbeeck, Gunnar ; Raskin, Jean-Pierre ; Idrissi, Hosni ; Pardoen, Thomas. Effect of ageing on the mechanical behavior of nanocrystalline metallic thin films. European Congress and Exhibition on Advanced Materials and Process - EUROMAT 2021 (Graz, Austria, du 12/09/2021 au 16/09/2021).
Rack, Martin ; Nyssens, Lucas ; Wane, Sidina ; Bajon, Damienne ; Lederer, Dimitri ; Raskin, Jean-Pierre. FD-SOI mm-Wave Differential Single-Pole Switches with Ultra-High Isolation. 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) (Hsinchu, Taiwan, du 19/4/2021 au 22/4/2021). doi:10.1109/vlsi-tsa51926.2021.9440095.
Rack, Martin ; Nyssens, Lucas ; Nabet, Massinissa ; Lederer, Dimitri ; Raskin, Jean-Pierre. Field-Effect Passivation of Lossy Interfaces in High-Resistivity RF Silicon Substrates. 2021 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS) (Caen, France, du 1/9/2021 au 3/9/2021). In: EuroSOI-ULIS 2021 Proceedings, IEEE, 2021. 9781665437462, p. 130-133. doi:10.1109/eurosoi-ulis53016.2021.9560697.
Jaddi, Sahar ; Wang, Bin ; Malik, Mohammad Wasil ; Zeng, Yun ; Raskin, Jean-Pierre ; Pardoen, Thomas. Fracture toughness and fracture strain of single-layer freestanding graphene extracted by on-chip testing. 2021 MRS Spring Meeting & Exhibit (Seattle, WA, USA, du 18/04/2021 au 23/04/2021).
Tang, Xiaohui ; Debliquy, M. ; Lahem, D. ; Yan, Yiyi ; Raskin, Jean-Pierre. Graphene sensors. Encyclopedia.
Moulin, Maxime ; Rack, Martin ; Fache, Thibaud ; Chalupa, Zdenek ; Plantier, Christophe ; Morand, Yves ; Lacord, Joris ; Allibert, Frédéric ; Gaillard, Fred ; Lugo, Jose ; Hutin, Louis ; Raskin, Jean-Pierre. High performance silicon-based substrate using buried PN junctions towards RF applications. 2021 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EuroSOI-ULIS) (Caen, France, du 1/9/2021 au 3/9/2021). In: Proceedings, 2021. 978-1-6654-3745-5, p. 1-4. doi:10.1109/eurosoi-ulis53016.2021.9560171.
Courte, Quentin ; Rack, Martin ; Nabet, Massinissa ; Cardinael, Pieter ; Raskin, Jean-Pierre. High-Temperature Characterization of Novel Silicon-Based Substrate Solutions for RF-IC Applications. European Solid-State Device Research Conference (ESSDERC 2021) (Grenoble, France, du 13/09/2021 au 17/09/2021).
Merle, Stéphanie ; Raskin, Jean-Pierre. How to avoid pitfalls of pedagogical methodologies in a global citizenship project?. ANGEL (Academic Network on Global Education & Learning) conference (London, UK, du 25/05/2021 au 27/05/2021).
Rack, Martin ; Nyssens, Lucas ; Courte, Quentin ; Lederer, Dimitri ; Raskin, Jean-Pierre. Impact of Device Shunt Loss on DC-80 GHz SPDT in 22 nm FD-SOI. ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (Grenoble, France, du 13/09/2021 au 22/09/2021). In: ESSDERC 2021 Proceedings, IEEE, 2021. 978-1-6654-3748-6, p. 195-198. doi:10.1109/ESSDERC53440.2021.9631835.
Cardinael, Pieter ; Yadav, S. ; Zhao, M. ; Rack, Martin ; Lederer, Dimitri ; Collaert, N. ; Parvais, B. ; Raskin, Jean-Pierre. Impact of III-N buffer layers on RF losses and harmonic distortion of GaN-on-Si Substrates. European Solid-State Device Research Conference (ESSDERC 2021) (Grenoble, France, du 13/09/2021 au 17/09/2021). In: ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC). doi:10.1109/ESSDERC53440.2021.9631822.
Malik, Mohammad Wasil ; Wang, Bin ; Jaddi, Sahar ; Yan, Yiyi ; Reis, Victor ; Zeng, Yun ; Pardoen, Thomas ; Hackens, Benoît ; Raskin, Jean-Pierre. Impact of oxygen on CVD grown boron nitride layers. 2021 MRS Spring Meeting & Exhibit (Seattle, WA, USA, du 18/04/2021 au 23/04/2021).
Pardoen, Thomas ; Orekhov, Andrey ; Wang, Hui ; Baral, Paul ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Idrissi, Hosni. Interface controlled high strength, ductile and tough hybrid Al/amorphous Al2O3 nanolaminates. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Hauwaert, Margo ; Le Brun, Grégoire ; Vandeputte, Josquin ; Mahy, Julien ; Hermans, Sophie ; Raskin, Jean-Pierre. Nanoparticles for impedimetric biodetection on paper-based substrates. Micro and Nano Engineering Conference – MNE 2021 (Torino, Italy, du 20/09/2021 au 23/09/2021).
Rack, Martin ; Raskin, Jean-Pierre. Next generation of FD SOI platform to fulfill the requirements of millimeter-wave wireless systems. The 51st European Solid-State Device Conference – ESSDERC 2021 (Grenoble, France, du 6/09/2021 au 9/09/2021).
Ghidelli, M. ; Idrissi, Hosni ; Orekhov, Andrey ; Raskin, Jean-Pierre ; Park, J.-U. ; Li Bassi, A. ; Pardoen, Thomas. Novel nanostructured thin film metallic glasses for stretchable electronics. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Malik, Mohammad Wasil ; Jaddi, Sahar ; Wang, Bin ; Raskin, Jean-Pierre. Nucleation seeds control for the growth of sub-centimeter size crystals of single-layer graphene on polycrystalline copper foil. European Congress and Exhibition on Advanced Materials and Process - EUROMAT 2021 (Graz, Austria, du 12/09/2021 au 16/09/2021).
Bahramia, F. ; D'Hease, C. ; Nysten, Bernard ; Hammad, M. ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. On the extraction of accurate stiffness and strength properties of 2D materials using atomic force microscopy induced membrane deflection. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Moumneh, Ramy ; Hanus, Romain ; Le Brun, Grégoire ; Raskin, Jean-Pierre ; Francis, Laurent. Paper-based stacked reverse electrodialysis cells for energy generation from salinity gradient. Micro and nano Engineering Conference (Torino (Italy), du 20/09/2021 au 23/09/2021).
Hauwaert, Margo ; Eloy, Marie-Christine ; Magnin, Delphine ; Glinel, Karine ; Mahillon, Jacques ; Raskin, Jean-Pierre ; Le Brun, Grégoire ; Leprince, A.. Phage-protein biointerfaces for electrochemical paper-based bacteria sensors. The 21st edition of Trends in Nanotechnology International Conference – TNT 2021 (Tirana, Albania, du 04/10/2021 au 08/10/2021).
Idrissi, Hosni ; Daudin, R. ; Coulombier, Michaël ; Lhuissier, P. ; Raskin, Jean-Pierre ; Blandin, J.-J. ; Schülli, T.U. ; Pardoen, Thomas. Plasticity mechanisms in ZrNi metallic glass thin films with high strength/ductility balance. European Congress and Exhibition on Advanced Materials and Process - EUROMAT 2021 (Graz, Austria, du 12/09/2021 au 16/09/2021).
Baral, Paul ; Orekhov, Andrey ; Dohmen, Ralf ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Cordier, Patrick ; Idrissi, Hosni ; Pardoen, Thomas. Rheology of amorphous olivine thin films characterized by nanoindentation at ambient temperature. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Raskin, Jean-Pierre. SOI technologies for RF and millimeter-wave integrated circuits. 2021 IEEE Latin America Electron Devices Conference (LAEDC) (Mexico, Mexico, du 19/4/2021 au 21/4/2021). In: IEEE Latin America Electron Devices Conference, (2021). doi:10.1109/laedc51812.2021.9437979.
Baral, Paul ; Wang, Hui ; Jaddi, Sahar ; Houssiau, Clémentine ; Orekhov, Andrey ; Bagherpour, Alireza ; Coulombier, Michaël ; Favache, Audrey ; Raskin, Jean-Pierre ; Lucas, Stéphane ; Idrissi, Hosni ; Pardoen, Thomas. Size and structure dependent ductility, strength and toughness of thin hybrid Al/Al2O3 nanolaminated films. European Congress and Exhibition on Advanced Materials and Process - EUROMAT 2021 (Graz, Austria, du 12/09/2021 au 16/09/2021).
Jaddi, Sahar ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Static fracture toughness and environmentally assisted cracking in thin freestanding SiO2 and SiN films using crack-on-chip testing. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Rack, Martin ; Allibert, Frédéric ; Raskin, Jean-Pierre. Substrate RF non-linear characterization and modeling. 5th Sino MOS-AK Workshop Xi'an (MOS-AK: Modeling of Systems and Parameter Extraction Working Group) (Xi’an, China, du 11/08/2021 au 13/08/2021).
Raskin, Jean-Pierre. TCAD at the heart of innovation in RF SOI technology. Silvaco SURGE 2021 (Virtual conference, 23/11/2021).
Idrissi, Hosni ; Pip, Alex ; Raskin, Jean-Pierre. Thermal control for in-situ TEM nanomechanical testing devices. Micro & Nano Engineering / MNE (Torino, du 20/09/2021 au 23/09/2021) (Soumis).
Coulombier, Michaël ; Baral, Paul ; Pip, Alex ; Dohmen, Ralf ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Cordier, Patrick ; Idrissi, Hosni. Time dependent response of amorphous olivine thin films determined by on-chip nanomechanical testing. The 2021 Spring Meeting of the European Materials Research Society (E-MRS) (Virtual conference, du 31/05/2021 au 4/06/2021).
Ghidelli, M. ; Idrissi, H. ; Orekhov, A. ; Raskin, Jean-Pierre ; Park, J.-U. ; Li Bassi, Andrea ; Pardoen, Thomas. Toward novel stretchable electronics with nanostructured metallic glass films. The International Conference on Metallurgical Coatings and Thin Films – ICMCTF 2020 (San Diego, California, USA, du 26/04/2020 au 01/05/2020).
Raskin, Jean-Pierre. When defects become beauty ... from RF SOI CMOS to the exploration of material properties at nanometre scale. Webinar on Research Opportunities on Semiconductor Materials and Devices (IIITDM) (Virtual conference, du 22/10/2021 au 26/10/2021).
Nyssens, Lucas ; Halder, Arka ; Kazemi Esfeh, Babak ; Planes, Nicolas ; Flandre, Denis ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. 28-nm FD-SOI CMOS RF Figures of Merit Down to 4.2 K. In: I E E E Journal of the Electron Devices Society, Vol. B, p. 646-654 (2020). doi:10.1109/JEDS.2020.3002201.
Khiara, Nargisse ; Onimus, Fabien ; Dupuy, Laurent ; Kassem, Wassim ; Crocombette, Jean-Paul ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Bréchet, Yves. A novel displacement cascade driven irradiation creep mechanism in α-zirconium: A molecular dynamics study. In: Journal of Nuclear Materials, Vol. 541, p. 152336 (2020). doi:10.1016/j.jnucmat.2020.152336.
Tang, Xiaohui ; Raskin, Jean-Pierre ; Kryvutsa, Nadzeya ; Hermans, Sophie ; Slobodian, Oleksandr ; Nazarov, Alexei N. ; Debliquy, Marc. An ammonia sensor composed of polypyrrole synthesized on reduced graphene oxide by electropolymerization. In: Sensors and Actuators B: Chemical, Vol. 305, no.127423, p. 127423 (2020). doi:10.1016/j.snb.2019.127423.
Nabet, Massinissa ; Rack, Martin ; Hashim, Nur Zatil ; de Groot, C.H.K. ; Raskin, Jean-Pierre. Behavior of gold-doped silicon substrate under small- and large-RF signal. In: Solid-State Electronics, Vol. 168, p. 107718 (2020). doi:10.1016/j.sse.2019.107718.
Nyssens, Lucas ; Rack, Martin ; Raskin, Jean-Pierre. Effective resistivity extraction of low-loss silicon substrate at millimeter-wave frequencies. In: International Journal of Microwave and Wireless Technologies, Vol. 12, no.7, p. 615-628 (2020). doi:10.1017/S175907872000077X (Accepté/Sous presse).
Le Brun, Grégoire ; Hauwaert, Margo ; Leprince, Audrey ; Glinel, Karine ; Mahillon, Jacques ; Raskin, Jean-Pierre. Electrochemical Characterization of Nitrocellulose Membranes towards Bacterial Detection in Water. In: Proceedings, Vol. 60, no. 1, p. 61 (2020). doi:10.3390/iecb2020-07080.
Pampin, Rémi ; Raskin, Jean-Pierre ; Huynen, Isabelle ; Flandre, Denis. Electrodes-oxide-semiconductor device for biosensing: Renewed conformal analysis and multilayer algorithm. In: Journal of Electroanalytical Chemistry, Vol. 856, p. 113651 (2020). doi:10.1016/j.jelechem.2019.113651.
Allibert, F. ; Andia, L. ; Morandini, Y. ; Veytizou, C. ; Rack, Martin ; Nyssens, Lucas ; Raskin, Jean-Pierre ; Augendre, E.. Engineering SOI substrates for RF to mm-wave front-ends. In: Microwave Journal, , p. 72-82 (2020).
HUET, Benjamin ; Raskin, Jean-Pierre ; Redwing, J. M. ; Snyder, D. W.. Fundamental limitations for the transfer of graphene grown on Cu substrates. In: Carbon, Vol. 163, p. 95-104 (2020). doi:10.1016/j.carbon.2020.02.074..
Han, Qinghua ; Liu, Mingshan ; Kazemi Esfeh, Babak ; Raskin, Jean-Pierre ; Zhao, Qing-Tai. Impact of gate to source/drain alignment on the static and RF performance of junctionless Si nanowire n-MOSFETs. In: Solid-State Electronics, Vol. 169, p. 107817 (2020). doi:10.1016/j.sse.2020.107817..
Jaiswar Rajkumar ; Dupont Védi ; Mederos Henry, Francisco ; Hermans, Sophie ; Raskin, Jean-Pierre ; Huynen, Isabelle. Inkjet-printed frequency-selective surfaces based on carbon nanotubes for ultra-wideband thin microwave absorbers. In: Journal of Materials Science: Materials in Electronics, Vol. 1, no.12, p. 2 (2019). doi:10.1007/s10854-019-02751-6.
Le Brun, Grégoire ; Raskin, Jean-Pierre. Life cycle approach for electronics eco - design: case study on paper - based water quality sensors. In: Procedia CIRP, Vol. 90, p. 344-349 (2020). doi:10.1016/j.procir.2020.02.041.
Tuyaerts, Romain ; Raskin, Jean-Pierre ; Proost, Joris. Opto-electrical properties and internal stress in Al:ZnO thin films deposited by direct current reactive sputtering. In: Thin Solid Films, Vol. 695, no.137760, p. 8 pages (2020). doi:10.1016/j.tsf.2019.137760.
Scheen, Gilles ; Tuyaerts, Romain ; Rack, Martin ; Nyssens, Lucas ; Rasson, J. ; Nabet, Massinissa ; Raskin, Jean-Pierre. Post-process porous silicon for 5G applications. In: Solid-State Electronics, Vol. 168, p. 107719 (2020). doi:10.1016/j.sse.2019.107719.
Shan, Zengyu ; Zhang, Xi ; Dang, Jiaojiao ; Chen, Yanping ; Lu, Bo ; Zhang, Meiyu ; Song, Jun ; Raskin, Jean-Pierre ; Tang, Xiaohui. Research of correspondence between human beings and universe based on intelligent pulse diagnosis instrument. In: Journal of Traditional Chinese Medicine, Vol. 60, no.24 (2019). doi:10.13288/j.11-2166/r.2019.24.013..
Nyssens, Lucas ; Halder, Arka ; Esfeh, Babak Kazemi ; Planes, Nicolas ; Haond, Michel ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Self-Heating in FDSOI UTBB MOSFETs at Cryogenic Temperatures and Its Effect on Analog Figures of Merit. In: I E E E Journal of the Electron Devices Society, Vol. 8, p. 789-796 (2020). doi:10.1109/JEDS.2020.2999632.
Belaroussi, Yasmina ; Scheen, Gilles ; Saadi, Abdelhalim A. ; Taibi, Abdelkader ; Maafri, Djabar ; Nysten, Bernard ; Gabouze, Noureddine ; Raskin, Jean-Pierre. Structural and nanomechanical properties of porous silicon: Cheap substrate for CMOS process industry. In: Surface and Interface Analysis, Vol. 52, no.12, p. 1055-1060 (2020). doi:10.1002/sia.6885.
Ding, Lipeng ; Raskin, Jean-Pierre ; Lumbeeck, Gunnar ; Schryvers, Dominique ; Idrissi, Hosni. TEM investigation of the role of the polycrystalline-silicon film/substrate interface in high quality radio frequency silicon substrates. In: Materials Characterization, Vol. 161, p. 110174 (2020). doi:10.1016/j.matchar.2020.110174.
Khiara, N. ; Onimus, F. ; Dupuy, L. ; Crocombette, J.-P. ; Jublot-Leclerc S. ; Jourdan, T. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Bréchet, Y.. A novel displacement cascade driven irradiation creep mechanism in α-zirconium and copper. The Nuclear Materials Conference - NUMAT 2020 (Ghent, Belgium, du 26/10/2020 au 29/10/2020).
Wane, S. ; Huard, V. ; Rack, Martin ; Nyssens, Lucas ; Kieniewicz, B. ; Bajon, D. ; Raskin, Jean-Pierre. Broadband smart mm-wave Front-End-Modules in advanced FD-SOI with adaptive-biasing and tuning of distributed antenna-arrays. 2020 IEEE Texas Symposium on Wireless and Microwave Circuits and Systems (Texas (USA), du 26/05/2020 au 27/05/2020).
Vandermolen, E. ; Ferrandis, P. ; Allibert, F. ; Nabet, Massinissa ; (Kees) de Groot, CH. ; Raskin, Jean-Pierre ; Cassé, M.. Characterization of deep levels in high resistivity substrates by Photo-Induced Current Transient Spectroscopy. Workshop on Defects 2020 (Leuven (Belgium), du 16/04/2020 au 17/04/2020).
Rack, Martin ; Nyssens, Lucas ; Wane, Sidina ; Bajon, Damienne ; Raskin, Jean-Pierre. DC-40 GHz SPDTs in 22 nm FD-SOI and Back-Gate Impact Study. 2020 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) (Los Angeles, CA, USA, du 4/8/2020 au 6/8/2020). doi:10.1109/rfic49505.2020.9218317.
Rack, Martin ; Nyssens, Lucas ; Wane, S. ; Bajon, D. ; Raskin, Jean-Pierre. DC-40 GHz SPDTs in 22 nm FD-SOI and back-gate impact study. The 2020 IEEE Radio Frequency Integrated Circuits Symposium – RFIC 2020 (Los Angeles (USA), du 04/08/2020 au 06/08/2020).
Halder, Arka ; Nyssens, Lucas ; Rack, Martin ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Effect of Heat Sink in Back-End of Line on Self-Heating in 22 nm FDSOI MOSFETs. 2020 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS) (Caen, France, du 1/9/2020 au 30/9/2020). In: Proceedings, 2020. 978-1-7281-8765-5, p. 1-4. doi:10.1109/eurosoi-ulis49407.2020.9365293.
Halder, Arka ; Nyssens, Lucas ; Rack, Martin ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Effect of heat sink Back-End of Line on self-heating in 22 nm FD SOI MOSFETs. Sixth Joint International EUROSOI-ULIS (Caen (France), du 31/08/2020 au 04/09/2020).
Kilchytska, Valeriya ; Makovejev, Sergej ; Kazemi Esfeh , Babak ; Nyssens, Lucas ; Halder, Arka ; Raskin, Jean-Pierre ; Flandre, Denis. Electrical characterization of advanced MOSFETs towards analog and RF applications. 2020 IEEE Latin America Electron Devices Conference (LAEDC) (San José (Costa Rica), du 25/02/2020 au 28/02/2020). In: Proceedings of the 2020 IEEE Latin America Electron Devices Conference (LAEDC), IEEE, 2020. 978-1-7281-1044-8/20, 4. doi:10.1109/LAEDC49063.2020.9073536.
Le Brun, Grégoire ; Hauwaert, Margo ; Leprince, Audrey ; Glinel, Karine ; Mahillon, Jacques ; Raskin, Jean-Pierre. Electrochemical paper-based biosensors for bacteria detection in water. The 1st International Electronic Conference on Biosensors (IECB) (On-line conference, du 2/11/2020 au 17/11/2020).
Jaddi, Sahar ; Coulombier, Michaël ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Fracture toughness and environmentally assisted subcritical cracking of thin freestanding Al2O3 and SiO2 films. 17th European Mechanics of Materials Conference (EMMC17) (Madrid (Spain), du 27/05/2020 au 29/05/2020).
Pardoen, Thomas ; Dépinoy, S. ; Strepenne, F. ; Bertholet, Y. ; Raskin, Jean-Pierre ; Massart, T. J. ; Godet, S.. Interface toughening in multilayered systems through extrinsic plastically deforming or compliant dissipative interlayers. 17th European Mechanics of Materials Conference (EMMC17) (Madrid (Spain), du 27/05/2020 au 29/05/2020) (Soumis).
Le Brun, Grégoire ; Raskin, Jean-Pierre. Life cycle approach for electronics eco-design: case study on paper-based water quality sensors. The 27th CIRP Conference on Life Cycle Engineering – LCE 2020 (Grenoble (France), du 13/05/2020 au 15/05/2020).
HUET, Benjamin ; Bachu, S. ; Choudhury, T. H. ; Chubarov, M. ; Zhang, X. ; Raskin, Jean-Pierre. Mass production of CVD graphene, reliable transfer, and co- integration with TMDs. The 3rd edition of the Graphene & 2D Materials International Conference and Exhibition - GrapheneforUS (New-York (USA), du 20/02/2020 au 21/02/2020).
Raskin, Jean-Pierre. New generation of SOI substrate solutions for RF and millimeter-wave applications. The 27th Korean Conference on Semiconductors – KCS 2020 – Intelligent Semiconductor for Technology Convergence (Sabuk Gohan (Korea), du 12/02/2020 au 14/02/2020).
Raskin, Jean-Pierre. No Digital Society without Sustainable Communication Technologies. ESSDERC 2020 (Grenoble (France), 14/09/2020).
Le Brun, Grégoire ; Leprince, A. ; Crahay, O. ; Glinel, Karine ; Mahillon, Jacques ; Raskin, Jean-Pierre. Phage endolysin biointerface for electrochemical paper-based bacteria sensors. Biosensors 2020 (Busan, Korea, du 9/11/2020 au 12/11/2020).
Rack, Martin ; Raskin, Jean-Pierre. SOI devices and substrates towards RF and millimeter-wave ICs. The 4th IEEE Electron Devices Technology and Manufacturing Conference 2020 – EDTM 2020 (Penang (Malaysia), du 16/03/2020 au 18/03/2020). In: IEEE Electron Devices Technology & Manufacturing Conference (EDTM), 2020. 978-1-7281-2539-8. doi:10.1109/EDTM47692.2020.9117977.
Raskin, Jean-Pierre. SOI technologies from digital to RF and beyond. Intelligent Semiconductor for Technology Convergence, nano-KISS Short Course (Sabuk Gohan (Korea), 12/02/2020). In: Intelligent Semiconductor for Technology Convergence, nano-KISS Short Course, 2020, pp 28-64.
Baral, Paul ; Houssiau, Clémentine ; Bagherpour, Alireza ; Muller, Jérôme ; Orekhov, Andrey ; Favache, Audrey ; Colla, Marie-Stéphane ; Van Loock, Frederik ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Lucas, Stéphane ; Idrissi, Hosni ; Pardoen, Thomas. Size and structure dependent ductility, strength and toughness of thin hybrid nanolaminated films. Materials Science and Engineering Congress (MSE) (Darmstadt, Germany, du 22/09/2020 au 25/09/2020).
Yadav, S. ; Cardinael, Pieter ; Zhao, M. ; Vondkar, K. ; Khaled, A. ; Rodriguez, R. ; Vermeersch, B. ; Makovejev, S. ; Ekoga, E. ; Pottrain, A. ; Waldron, N. ; Raskin, Jean-Pierre ; Parvais, B. ; Collaert, N.. Substrate RF Losses and Non-linearities in GaN-on-Si HEMT Technology. 2020 IEEE International Electron Devices Meeting (IEDM) (San Francisco, CA, USA, du 12/12/2020 au 18/12/2020). In: International Electron Devices Meeting. I E D M Technical Digest. doi:10.1109/iedm13553.2020.9371893.
Jaddi, Sahar ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Ténacité et propagation sous-critique de fissure dans les couches minces de dioxyde de silicium et de nitrure de silicium. Aussois 2020 - Contraintes résiduelles: de nouveaux outils pour de nouveaux défis (Aussois, France, du 20/01/2020 au 24/01/2020) (Soumis).
Khiara, N. ; Onimus, F. ; Dupuy, L. ; Crocombette, J.-P. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Brechet, Y.. Un nouveau mécanisme de fluage d’irradiation induit par les cascades de déplacements générées par l’irradiation. Plasticité 2020 (Toulouse (France), du 30/03/2020 au 01/04/2020) (Soumis).
Kazemi Esfeh, Babak ; Planes N. ; Haond M. ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. 28 nm FDSOI analog and RF figures of merit at N2 cryogenic temperatures. In: Solid-State Electronics, Vol. 159, no.September 2019, p. 77-82 (2019). doi:10.1016/j.sse.2019.03.039.
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Planes,N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. 28 nm FDSOI nMOSFET RF Figures of Merits and Parasitic Elements extraction at Cryogenic Temperature down to 77 K. In: I E E E Journal of the Electron Devices Society, Vol. 7, p. 810816 (2019). doi:10.1109/JEDS.2019.2906724.
Jaiswar, Rajkumar Rampati ; Mederos Henry, Francisco ; Dupont, V. ; Hermans, Sophie ; Raskin, Jean-Pierre ; Huynen, Isabelle. A ultra-wideband thin microwave absorber using inkjet-printed Frequency Selective Surfaces combining carbon nanotubes and magnetic nanoparticles. In: Applied Physics A: Solids and Surfaces, Vol. 125, no.473 (2019). doi:10.1007/s00339-019-2764-9.
Raskin, Jean-Pierre. Analogue and RF performances of Fully Depleted SOI MOSFET (invited paper). In: Nanoelectronic Devices, Vol. 2, no.April 2019, p. 18 pages (2019). doi:10.21494/ISTE.OP.2019.0358.
Idrissi, Hosni ; Ghidelli, Matteo ; Béché, Armand ; Turner, Stuart ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas. Atomic-scale viscoplasticity mechanisms revealed in high ductility metallic glass films. In: Scientific Reports, Vol. 9, no.1, p. 13426 (2019). doi:10.1038/s41598-019-49910-7.
Tang, Xiaohui ; Haddad, Pierre-Antoine ; Mager, Nathalie ; Geng, Xin ; Reckinger, Nicolas ; Hermans, Sophie ; Debliquy, Marc ; Raskin, Jean-Pierre. Chemically deposited palladium nanoparticles on graphene for hydrogen sensor applications. In: Scientific Reports, Vol. 9, no.1, p. 3653 (2019). doi:10.1038/s41598-019-40257-7.
Jaddi, Sahar ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Crack on a chip test method for thin freestanding films. In: Journal of the Mechanics and Physics of Solids, Vol. 123, p. 267-291 (2019). doi:10.1016/j.jmps.2018.10.005.
Chabou, N. ; Birouk, B. ; Aida, M. S. ; Raskin, Jean-Pierre. Deposition time and annealing effects on morphological and optical properties of ZnS thin films prepared by Chemical Bath Deposition. In: Materials Science-Poland, Vol. 37, no.3, p. 404-416 (2019).
Caicedo, Nohora ; Leturcq, Renaud ; Raskin, Jean-Pierre ; Flandre, Denis ; Lenoble, Damien. Detection mechanism in highly sensitive ZnO nanowires network gas sensors. In: Materials science, Vol. preprint, p. 27 (2019).
Li, Guoli ; André, Nicolas ; Huet, Benjamin ; Delhaye, Thibault ; Reckinger, Nicolas ; Francis, Laurent ; Lioa, Lei ; Raskin, Jean-Pierre ; Zeng, Yun ; Flandre, Denis. Enhanced ultraviolet photoresponse in a graphene-gated ultra-thin Sibased photodiode. In: Journal of Physics D: Applied Physics, Vol. 52, no. 24, p. 7 (2019). doi:10.1088/1361-6463/ab12b8. doi:10.1088/1361-6463/ab12b8.
Rack, Martin ; Nyssens, Lucas ; Raskin, Jean-Pierre. Low loss Si-substrates enhanced using buried PN junctions for RF applications. In: IEEE Electron Device Letters, Vol. 40, no.5, p. 690-693 (2019).
HUET, Benjamin ; Zhang, Xiaotian ; Redwing, J. M. ; Snyder, D. W. ; Raskin, Jean-Pierre. Multi-wafer batch synthesis of graphene on Cu films by quasi-static flow chemical vapor deposition. In: 2D Materials, Vol. 6, no.4, p. 045032 (2019). doi:10.1088/2053-1583/ab33ae.
Fates, R. ; Raskin, Jean-Pierre. Probing carrier concentration in gated single layer, bilayer and trilayer CVD graphene using Raman Spectroscopy. In: Carbon, Vol. 149, p. 390-399 (2019). doi:10.1016/j.carbon.2019.04.078.
Slobodian, O.S. ; Milovanov, Y.S. ; Skryshevsky, V.A. ; Vasin, A.V. ; Tang, Xiaohui ; Raskin, Jean-Pierre. Reduced graphene oxide obtained by spray pyrolis technique for gas sensing. In: Semiconductor Physics,Quantum Electronics & Optoelectronics - SPQEO, Vol. 22, no. 1, p. 98-103 (2019). doi:10.15407/spqeo22.01.98.
André, Nicolas ; Rack, Martin ; Nyssens, Lucas ; Oueslati, Donia ; Ben Ali, Khaled ; Gilet, S ; Craeye, Christophe ; Raskin, Jean-Pierre ; Flandre, Denis. Ultra Low-Loss Si Substrate for On-Chip UWB GHz Antennas. In: I E E E Journal of the Electron Devices Society, Vol. 7, p. 393397 (2019). doi:10.1109/JEDS.2019.2902636.
Jaiswar, Rajkumar ; Bailly, Christian ; Hermans, Sophie ; Raskin, Jean-Pierre ; Huynen, Isabelle. Wideband microwave absorption in thin nanocomposite films induced by a concentration gradient of mixed carbonaceous nanostructures. In: Journal of Materials Science: Materials in Electronics, Vol. 30, no.21, p. 19147-19153 (2019). doi:10.1007/s10854-019-02271-3.
Nyssens, Lucas ; Halder, Arka ; Planes, N. ; Flandre, Denis ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. 28 FDSOI RF Figures of Merit down to 4.2 K. IEEE S3S Conference (San Jose (USA), 10/2019).
Khiara, N. ; Onimus, F. ; Dupuy, L. ; Crocombette, J. P. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Brechet, Y.. A molecular dynamics study of irradiation creep deformation mechanisms in α-zirconium. 1st Colloquium on Theoretical and Experimental Micro-Mechanics (Metz (France), du 18/11/2019 au 19/11/2019).
Khiara, N. ; Onimus, F. ; Dupuy, L. ; Crocombette, J. P. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Brechet, Y.. A molecular dynamics study of irradiation creep deformation mechanisms in α-zirconium. Materials in Nuclear Energy Systems - MiNES (Baltimore (USA), du 06/10/2019 au 10/10/2019).
Kermouche, Guillaume ; Baral, Paul ; Loubet, J.L. ; Ghidelli, Matteo ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. A new long-term nanoindentation relaxation method to characterize the time-dependent behavior of thin ZrNi metallic glass films. 17th International conference on liquid and amorphous metals (Lyon, France, du 26/08/2019 au 30/08/2019).
Nabet, Massinissa ; Rack, Martin ; De Groot, C.H.K. ; Raskin, Jean-Pierre. Behavior of gold-doped silicon under small- and large-RF signal. Fifth Joint International EUROSOI-ULIS Conference on SOI and Ultimate Integration on Silicon - EuroSOI-ULIS 2019 (Grenoble, France, du 01/04/2019 au 03/04/2019). In: Proceedings of the Fifth Joint International EUROSOI-ULIS Conference on SOI and Ultimate Integration on Silicon - EuroSOI-ULIS 2, 2019, p. 103-104.
Wasil Malik, M. ; Huet, B. ; Raskin, Jean-Pierre. CVD Synthesis of Large Area Twisted Multilayer Graphene. Graphene 2019 (Rome (Italy), du 25/06/2019 au 28/06/2019).
Flandre, Denis ; André, Nicolas ; Delhaye, Thibault ; Francis, Laurent ; Raskin, Jean-Pierre. Capteurs CMOS physiques & physiologiques flexibles et basse consommation. Ecole d'hiver Francophone sur les Technologies de Conception des Systèmes embarqués Hétérogènes (FETCH 2019) (Louvain-la-Neuve (Belgium), du 28/01/2019 au 30/01/2019).
Huet, Benjamin ; Zhang, Xiaotian ; Raskin, Jean-Pierre ; J. Redwing ; D. W. Snyder. Chemical vapor synthesis of ultra flat crack-free highly-crystalline single-layer graphene on Cu substrates. Graphene for US - Graphene & 2D Materials International Conference and Exhibition (New York, NW, USA, du 14/02/2019 au 15/02/2019). In: Proceedings of Graphene for US - Graphene & 2D Materials International Conference and Exhibition, 2019, p. 1 page.
Wane, S. ; Emam, M. ; Raskin, Jean-Pierre. Chip Package PCB Antenna Co Design for Smart 5G Solutions: Requirement for Unified Modeling & Instrumentation Platforms. Tutorial, IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference – S3S’19 (San Francisco (USA), du 14/10/2020 au 17/10/2020).
Wasil Malik, M. ; Huet, B. ; Raskin, Jean-Pierre. Domain shape engineering of CVD grown hexagonal Boron Nitride. 2019 Materials Research Society Spring Meeting – MRS Spring’19 (Phoenix, AZ (USA), du 22/04/2019 au 26/04/2019). In: 2019 Materials Research Society Spring Meeting – MRS Spring’19, 2019, paper # QN01.13.05..
Le Brun, Grégoire ; Raskin, Jean-Pierre. Dynamic decision-making framework for sustainable IoT - Methodological developments and case study. Global Challenges Science Week: International interdisciplinary days of Grenoble Alpes - 3rd Sustainable IoT Workshop (Grenoble, France, du 03/06/2019 au 06/06/2019). In: Proceedings of the Global Challenges Science Week: International interdisciplinary days of Grenoble Alpes - 3rd Sustainable IoT, 2019, p. poster.
Raskin, Jean-Pierre. ENCOS – No digital society without sustainable information technology. Sustainable IoT Workshop (Louvain-la-Neuve, Belgium, du 28/01/2019 au 30/01/2019). In: Proceedings of Sustainable IoT Workshop, ENCOS, 2019, p. 11 pages.
Nyssens, Lucas ; Rack, Martin ; Raskin, Jean-Pierre. Effective Resistivity Extraction of Low-Loss Silicon Substrate at Millimeter-Wave Frequencies. European Microwave Integrated Circuit Conference (EuMIC) (Paris (France), du 30/09/2019 au 01/10/2019). In: European Microwave Integrated Circuits Conference. Proceedings. In: , Institute of Electrical and Electronics Engineers, 2019. 978-1-7281-0768-4. doi:10.23919/EuMIC.2019.8909575.
HUET, Benjamin ; Raskin, Jean-Pierre ; Redwing, J. M. ; Snyder, D. W.. Epitaxial Cu films: an ideal platform for the graphene growth, transfer and device fabrication. 19th International Conference on Crystal Growth and Epitaxy (ICCGE-19) (Keystone, Colorado (USA), du 28/07/2019 au 02/08/2019).
Nyssens, L. ; Rack, Martin ; Raskin, Jean-Pierre. Evaluation of silicon substrate losses at millimeter-wave frequencies. On-Wafer Users' Forum 2019 at IEEE International Microwave Symposium – IMS’19 (Boston, MA (USA), du 02/06/2019 au 07/06/2019).
Khiara, N. ; Dupuy, L. ; Onimus, F. ; Crocombette, J.-P. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Expériences de traction in situ sous irradiation : application aux alliages de zirconium et de cuivre. 63ièmes journées du GUMP (Groupe des Utilisateurs de Microscopie électronique Philips-FEI) (CSNSM/JANNuS-Orsay, Orsay (France), du 11/06/2019 au 13/06/2019) (Soumis).
Flandre, Denis ; Kazemi Esfeh, Babak ; Nyssens, Lucas ; Halder, Arka ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Figures of merit of nanoscale transistors at cryogenic temperature: 28nm UTBB FD SOI nMOSFET case study. 3rd Symposium on Schottky barrier MOS devices (Gif-sur Yvette (France), 04/10/2019).
Raskin, Jean-Pierre. Fully Depleted SOI technologies from digital to RF and beyond. Fifth Joint International EUROSOI-ULIS Conference on SOI and Ultimate Integration on Silicon - EuroSOI-ULIS 2019 (Grenoble (France), du 01/04/2019 au 03/04/2019).
Bahrami, Farzaneh ; Hammad, Mohamed ; Fivel, Marc ; Huet, Benjamin ; D'Haese, Cécile ; Ding, Lipeng ; Nysten, Bernard ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Graphene effect on mechanical response of copper film. Nanomechanical Testing in Materials Research and Development VII, ECI (Malaga, Spain, du 30/09/2019 au 04/10/2019). In: Online Proceedings of NANOCHEMTEST VII, 2019, 129.
Bahrami, Farzaneh ; Fivel, Marc ; Wasil Malik, Mohammed ; Huet, Benjamin ; Hammad, Mohamed ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Graphene effect on mechanical response of metal substrate. Euromat 2019, European Congress and Exhibition on Advanced Materials and Processes (Stockholm, Sweden, du 01/09/2019 au 05/09/2019). In: Abstract Book - EUROMAT 2019, 2019, p. 1370.
Bahrami, Farzaneh ; Hammad, Mohamed ; Fivel, Marc ; Huet, Benjamin ; D'Haese, Cécile ; Ding, Lipeng ; Nysten, Bernard ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Graphene effect on mechanical response of metal substrate,. RBSM 2019 (Louvain-la-Neuve, Belgium, 09/09/2019). In: Abstract booklet, 2019, p. 13-14.
Milovanov, Y.S. ; Skryshevsky, V.A. ; Slobodian, O.M. ; Pustovyi, D.O. ; Tang, Xiaohui ; Raskin, Jean-Pierre ; Nazarov, A.N.. Influence of gas adsorption on the impedance of graphene oxide. 2019 IEEE 39th International Conference on Electronics and Nanotechnology - IEEE ELNANO-2019 (Kyiv, Ukraine, du 16/04/2019 au 18/04/2019). In: Proceedings of the 2019 IEEE 39th International Conference on Electronics and Nanotechnology - IEEE ELNANO-2019, IEEE, 2019, p. 155-158.
Wang, Hui ; Coulombier, Michaël ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Interface Controlled Ductile Hybrid Crystal/Amorphous Nanolaminates . 1st Colloquium on Theoretical and Experimental Micro-Mechanics (Metz, France, du 18/11/2019 au 19/11/2019).
Orekhov, Andrey ; Ghidelli, Matteo ; Béché, Armand ; Nord, Magnus ; Verbeeck, Johan ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas ; Idrissi, Hosni. Investigation of the nanoscale plasticity mechanisms in nanostructured thin metallic glass films using advanced in‐situ TEM nanomechanical testing. RBSM 2019. In: Book of abstracts, 2019, p. 4.
Kermouche, Guillaume ; Baral, Paul ; Guillonneau, Gaylord ; Loubet, Jean-Luc ; Ghidelli, Matéo ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Idrissi, Hosni. Measurement of the creep behavior of thin ZrNi metallic glass films – a comparison between nanoindentation relaxation, nanoindentation creep and lab-on-chips experiments. ECI conference, Nanomechanical Testing in Materials Research and Development VII (Malaga, Spain, du 29/09/2019 au 04/10/2019).
HUET, Benjamin ; Raskin, Jean-Pierre ; J. M. Redwing ; D. W. Snyder. Multi-wafer batch synthesis of graphene on Cu films by quasi-static flow chemical vapor deposition. 19th International Conference on Crystal Growth and Epitaxy (ICCGE-19) (Keystone (Colorado - USA), du 28/07/2019 au 02/08/2019).
Nyssens, L. ; Rack, Martin ; Raskin, Jean-Pierre. New method for accurate transmission line characterization on low-loss silicon substrate at millimiter-wave frequencies. The Microwave Technology and Techniques Workshop 2019 (ESA-ESTEC in Noordwijk (The Netherlands), du 02/04/2019 au 04/04/2019).
Ghidelli, Matteo ; Idrissi, Hosni ; Orekhov, Andrey ; Raskin, Jean-Pierre ; Li Bassi, Andrea ; Pardoen, Thomas. Novel nanostructured thin film metallic glasses with superior mechanical properties. 26th International Symposium on Metastable, Amorphous and Nanostructured Materials (Chennai, India, du 08/07/2019 au 12/07/2019).
Le Brun, Grégoire ; Raskin, Jean-Pierre. Paper-based printed electronic sensor for water quality monitoring. The 2019 European Materials Research Society (E-MRS) Fall Meeting (Warsaw (Poland), du 16/09/2019 au 19/09/2019).
Scheen, Gilles ; Tuyaerts, Romain ; Rack, Martin ; Nyssens, Lucas ; Rasson, Jonathan ; Raskin, Jean-Pierre. Post-process local porous silicon integration method for RF application. IEEE International Microwave Symposium – IMS’19 (Boston, MA, USA, du 02/06/2019 au 07/06/2019). In: Proceedings of the IEEE International Microwave Symposium – IMS’19, IEEE, 2019. 978-1-7281-1309-8/19, p. 1291-1294.
Scheen, Gilles ; Tuyaerts, Romain ; Rack, Martin ; Nyssens, Lucas ; Rasson, Jonathan ; Raskin, Jean-Pierre. Post-process porous silicon for 5G applications. Fifth Joint International EUROSOI-ULIS Conference on SOI and Ultimate Integration on Silicon - EuroSOI-ULIS 2019 (Grenoble, France, du 01/04/2019 au 03/04/2019). In: Proceedings of the Fifth Joint International EUROSOI-ULIS Conference on SOI and Ultimate Integration on Silicon - EuroSOI-ULIS 2, 2019, p. 110-111.
Rack, Martin ; Raskin, Jean-Pierre. SOI technologies for RF and millimeterwave applications. The 236th Meeting of the Electrochemical Society - The Semiconductor Process Integration 11 Symposium (Atlanta (USA), du 13/10/2019 au 17/10/2019).
Nyssens, Lucas ; Halder, Arka ; Kazemi Esfeh, Babak ; Planes, Nicolas ; Haond, Michel ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Self-Heating in 28 FDSOI UTBB MOSFETs at Cryogenic Temperatures . 49th European Solid-State Device Research Conference (ESSDERC 2019) (Cracow (Poland), du 23/09/2019 au 26/09/2019).
Rack, Martin ; Nyssens, Lucas ; Raskin, Jean-Pierre. Silicon-substrate enhancement technique enabling high quality integrated RF passives. IEEE International Microwave Symposium – IMS’19 (Boston, MA, USA, du 02/06/2019 au 07/06/2019). In: Proceedings of the IEEE International Microwave Symposium – IMS’19, IEEE, 2019. 978-1-7281-1309-8/19, p. 1295-1298.
Khiara, N. ; Dupuy, L. ; Onimus, F. ; Crocombette, J.-P. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Brechet, Y.. Simulation de dynamique moléculaire des mécanismes de fluage d’irradiation du zirconium. Colloque Plasticité 2019 (Villeneuve d'Ascq (France), du 15/04/2019 au 17/04/2019). In: Colloque Plasticité 2019, 2019.
Jaddi, Sahar ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Subcritical crack growth in freestanding silicon nitride and silicon dioxide thin films. Euromat 2019, European Congress and Exhibition on Advanced Materials and Processes (Stockholm, Sweden, du 01/09/2019 au 05/09/2019). In: Abstract book - EUROMAT 2019, 2019, p. 1361.
Jaddi, Sahar ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Subcritical crack growth in freestanding silicon nitride and silicon dioxide thin films using residual stress-induced crack on-chip testing technique. ECI - The Nanomechanical Testing in Materials Research and Development VII (Malaga (Spain), du 29/09/2019 au 04/10/2019). In: Nanomechanical Testing in Materials Research and Development VII (ECI Symposium Series), Jon Molina-Aldareguia, IMDEA-Materials Institute, Spain Eds, 2019.
Velosa-Moncada, L. A. ; Raskin, Jean-Pierre ; Aguilera-Cortés, L. A. ; Herrera-May, A. L.. Thermal mismatch stress analysis of high-temperature deposited polysilicon cantilever-beam. ANSYS Convergence (Mexico (Mexico), du 01/10/2019 au 04/10/2019).
Merle, Stéphanie ; Raskin, Jean-Pierre. Three aspects of reflection to decolonize Service Learning in its practice. The 2nd European Conference on Service-Learning in Higher Education - ECSL 2019 (Antwerpen (Belgium), du 19/09/2019 au 21/09/2019).
Tang, Xiaohui ; Lahem, Driss ; Raskin, Jean-Pierre ; Gérard, Pierre ; Geng, Xin ; André, Nicolas ; Debliquy, Marc. A Fast and Room-Temperature Operation Ammonia Sensor Based on Compound of Graphene With Polypyrrole. In: IEEE Sensors Journal, Vol. 18, no.22, p. 9088-9096 (2018). doi:10.1109/JSEN.2018.2869203.
Kazemi Esfeh, Babak ; Rack, Martin ; Makovejev, Sergej ; Allibert, Frederic ; Raskin, Jean-Pierre. A SPDT RF Switch Small- and Large-Signal Characteristics on TR-HR SOI Substrates. In: IEEE Journal of the Electron Devices Society, Vol. 6, no., p. 543-550 (2018). doi:10.1109/jeds.2018.2805780.
Peña-García, Néstor N. ; Aguilera-Cortés, Luz A. ; González-Palacios, Max A. ; Raskin, Jean-Pierre ; Herrera-May, Agustín L.. Design and modeling of a MEMS dual-backplate capacitive microphone with spring-supported diaphragm for mobile device applications. In: Sensors, Vol. 18, no.10, p. 3545 (2018). doi:10.3390/s18103545.
Velosa-Moncada, Luis ; Aguilera-Cortés, Luz ; González-Palacios, Max ; Raskin, Jean-Pierre ; Herrera-May, Agustin. Design of a novel MEMS microgripper with rotatory electrostatic comb-drive actuators for biomedical applications. In: Sensors, Vol. 18, no.5, p. 1664 (2018). doi:10.3390/s18051664.
Kemiha A. ; Birouk B ; Raskin, Jean-Pierre. Ellipsometry-based electrical characterization of phosphorus doped LPCVD polysilicon. In: Journal of Materials : Materials in Electronics, Vol. 29, no.14, p. 11627-11636 (2018). doi:10.1007/s10854-018-9260-4.
Martinez-Lopez, Andrea G ; Tinoco, Julio C ; Lezama, Gamaliel ; Conde, Jorge E ; Kazemi Esfeh, Babak ; Raskin, Jean-Pierre. Extrinsic gate capacitance compact model for UTBB MOSFETs. In: Semiconductor Science and Technology, Vol. 33, no.1, p. 015001 (2018). doi:10.1088/1361-6641/aa98e7.
Martinez-Lopez A.G. ; Tinoco J.C. ; Lezama G. ; Conde J.E. ; Kazemi Esfeh, Babak ; Raskin, Jean-Pierre. Extrinsic gate capacitance model for UTBB MOSFETs. In: Semiconductor Science and Technology, Vol. 33, no.1, p. 9 pages (2018).
Poncelet, Olivier ; Rasson, Jonathan ; Tuyaerts, Romain ; Coulombier, Michaël ; Kotipalli, Ratan Raja Venkata ; Raskin, Jean-Pierre ; Francis, Laurent. Hemispherical cavities on silicon substrates: an overview of micro fabrication techniques. In: Materials Research Express, Vol. 5, no. 4, p. 045702 (2018). doi:10.1088/2053-1591/aab907.
Haddad, Pierre-Antoine ; Flandre, Denis ; Raskin, Jean-Pierre. Intrinsic rectification in common-gated graphene field-effect transistors. In: Nano Energy, Vol. 43, p. 37-46. doi:10.1016/j.nanoen.2017.10.049.
Fates, Rachid ; Raskin, Jean-Pierre. Linear and non-linear electrical behavior in graphene ribbon based devices. In: Journal of Science: Advanced Materials and Devices, Vol. 3, no.3, p. 366-370 (2018).
Debliquy, Marc ; Lahem, Driss ; Bueno-Martinez, Antonio ; Caucheteur, Christophe ; Bouvet, Marcel ; Recloux, Isaline ; Raskin, Jean-Pierre ; Olivier, Marie-Georges. Optical fibre NO2 sensor based on lutetium bisphthalocyanine in a mesoporous silica matrix. In: Sensors, Vol. 18, no.3, p. 740 (2018). doi:10.3390/s18030740.
Maafri Djabar ; Kazemi Esfeh, Babak ; Saadi Abdelhalim ; Yagoub Mustapha C.E. ; Raskin, Jean-Pierre. Prediction of RF performances of advanced MOS transistor from dc and low frequency measurements. In: Microwave & Optical Technology Letters, Vol. 60, no.9, p. 2256-2262 (2018). doi:10.1002/mop.31334.
Kazemi Esfeh, Babak ; Rack, Martin ; Ben Ali, Khaled ; Allibert, Frederic ; Raskin, Jean-Pierre. RF Small- and Large-Signal Characteristics of CPW and TFMS Lines on Trap-Rich HR-SOI Substrates. In: IEEE Transactions on Electron Devices, Vol. 65, no.8, p. 3120-3126 (2018). doi:10.1109/ted.2018.2845679.
Ureña Begara, Fernando ; Vayrette, Renaud ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre. Raman analysis of strain in p-type doped silicon nanostructures. In: Journal of Applied Physics, Vol. 124, no.9, p. 095102 (2018). doi:10.1063/1.5045736.
Huet Benjamin ; Raskin, Jean-Pierre. Role of Cu in-situ annealing in controlling the chemical vapor deposition of millimeter-size graphene domains. In: Carbon, Vol. 129, no.4, p. 270-280 (2018). doi:10.1016/j.carbon.2017.12.043.
Huet, Benjamin ; Raskin, Jean-Pierre. Role of the Cu substrate in the growth of ultra-flat crack-free highly-crystalline single-layer graphene. In: Nanoscale, Vol. 10, no.46, p. 21898/-21909 (2018). doi:10.1039/c8nr06817h.
Rack, Martin ; Belaroussi, Yasmina ; Ben Ali, Khaled ; Scheen, Gilles ; Kazemi Esfeh, Babak ; Raskin, Jean-Pierre. Small- and Large-Signal Performance Up To 175 °C of Low-Cost Porous Silicon Substrate for RF Applications. In: IEEE Transactions on Electron Devices, Vol. 65, no.5, p. 1887-1895 (2018). doi:10.1109/ted.2018.2818466.
Kazemi Esfeh, Babak ; Masselus, Matthieu ; Planes, N. ; Haond, M. ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. 28 FDSOI Analog and RF Figures of merit at Cryogenic Temperatures. 2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon EUROSOI-ULIS 2018 (Granada (Spain), du 19/03/2018 au 21/03/2018).
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. 28 FDSOI RF Figures of Merits and Parasitic Elements at Cryogenic Temperature. IEEE S3S Conference (San Francisco (USA), du 15/10/2018 au 18/10/2018). In: Proceedings of the IEEE S3S Conference, IEEE, 2018, 2.
Idrissi, Hosni ; Ghidelli, M. ; Gravier, S. ; Blandin, J.J. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas. Atomistic plasticity mechanisms in metallic glass thin films : new insights from advanced transmission electron microscopy. 2018 DPG Spring meeting (Berlin, Germnay, du 12/03/2018 au 16/03/2018).
Huet, Benjamin ; Raskin, Jean-Pierre. Controlling the size, number of layers and planarity of CVD graphene single-crystals. The 12th international New Diamond and Nano Carbons Conference - NDNC 2018 (Flagstaff, Arizona, USA, du 20/05/2018 au 24/05/2018). In: Proceedings of The 12th international New Diamond and Nano Carbons Conference - NDNC 2018, 2018, p. 1 page.
Raskin, Jean-Pierre. Current status and trends in RF SOI material and device. SEMICON Korea 2018 (Seoul, Korea, du 31/01/2018 au 02/02/2018). In: Proceedings of SEMICON Korea 2018, 2018, p. 3 pages.
Raskin, Jean-Pierre. Current status and trends in RF Silicon-on-Insulator material and device. The 233rd Electrochemical Society (ECS) Meeting (Seattle, WA, USA, du 13/05/2018 au 17/05/2018). In: Proceedings of the 233rd Electrochemical Society (ECS) Meeting, ECS, 2018, p. paper HO2-1479.
Raskin, Jean-Pierre. Current status and trends in RF silicon-on-insulator material and device. Symposium on advanced CMOS-compatible semiconductor devices 18 - 233rd ECS meeting (Seattle, du 13/05/2018 au 17/05/2018). In: ECS Transactions, Vol. 85, no.8, p. 47-57 (2018). doi:10.1149/08508.0047ecst.
Raskin, Jean-Pierre. FD SOI technologies from digital to RF and beyond. IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference – S3S’18 (San Francisco, USA, du 15/10/2018 au 18/10/2018). In: Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, IEEE, 2018. 978-1-5386-7627-1/18, p. paper 7.1. doi:10.1109/S3S.2018.8640138 .
Pardoen, Thomas ; Jaddi, Sahar ; Coulombier, Michaël ; Idrissi, Hosni ; Raskin, Jean-Pierre. Fracture mechanics on a chip. European Materials Research Society (EMRS 2018) (Strasbourg, France, du 18/06/2018 au 22/06/2018). In: Proceedings of Proceedings of EMRS Spring Meeting, 2018.
Lumbeeck, Gunnar ; Idrissi, Hosni ; Samaeeaghmiyoni, Vahid ; Béché, Armand ; Haque, Aman ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, Dominique. Grain size dependent deformation mechanisms in nanocrystalline thin films: insights from new dedicated sample preparation method. European Materials Research Society (EMRS 2018) (Strasbourg, France, du 18/06/2018 au 22/06/2018). In: Proceedings of the European Materials Research Society (EMRS 2018), 2018.
Raskin, Jean-Pierre ; Merle, Stéphanie. IngénieuxSud: Educate Engineers for Acting in a Complex and Intercultural Environment. 2018 International Conference UNESCO Chair in Technologies for Development – Voices of the Global South (EPFL, Lausanne (Switzerland), du 27/06/2018 au 29/06/2018).
Rack, Martin ; Lederer, Dimitri ; Nyssens, Lucas ; Paganini, A. ; Beganovic, A. ; Raskin, Jean-Pierre. Layout device dimension impact on effective substrate resistivity. IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference – S3S’18 (San Francisco, USA, du 15/10/2018 au 18/10/2018). In: Proceeddings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference – S3S’18, 2018. 978-1-5386-7627-1/18, p. paper 7.2.
Delcorte, A. ; Hermans, S. ; Raskin, Jean-Pierre ; Jaiswar, Rajkumar Rampati ; Bailly, C. ; Huynen, Isabelle. Multilayered absorber over K-and Ka-band based on graded concentration of carbon nanofillers: Modeling, Fabrication, and Experimental validation. URSI AP-RASC 2019, New Delhi, India, 09 - 15 March 2019 (du 09/03/2019 au 15/03/2019). In: Proceedings of the URSI AP-RASC 2019, New Delhi, India, 09 - 15 March 2019, (2019).
Raskin, Jean-Pierre. No digital society without sustainable information technology. Symposium HERA – Transmettre un monde habitable pour les générations futures : l’apport de la recherche (University of Mons (Belgium), 03/05/2018).
Pardoen, Thomas ; Raskin, Jean-Pierre ; Coulombier, Michaël ; Jaddi, Sahar ; Ghidelli, Matteo ; Vayrette, Renaud ; Idrissi, Hosni. On a chip MEMS based mechanical testing. Workshop on stress in microelectronics (Leuven, Belgium, 10/09/2018) (Soumis).
Jaddi, Sahar ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. On a chip fracture mechanics test method. 16th European Mechanics of Materials Conference EMMC16 (Nantes, du 26/03/2018 au 28/03/2018). In: Proceedings of the 16th European Mechanics of Materials Conference EMMC16, 2018.
Lapouge, Pierre ; Onimus, F. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Bréchet, Yves. On chip study of the irradiation creep behavior of copper films. 16th European Mechanics of Materials Conference (Nantes, France, du 26/03/2018 au 28/03/2018). In: Proceedings of the 16th European Mechanics of Materials Conference, 2018.
Ghidelli, Matteo ; Coulombier, Michaël ; Pardoen, Thomas ; Idrissi, Hosni ; Schülli, T. ; Gravier, S. ; Blandin, J.J. ; Daudin, Rémi ; Raskin, Jean-Pierre. On the processing, mechanical and structural characterization of ZrNi amorphous thin films. 25th International Symposium on Metastable, Amorphous and Nanostructured Materials (ISMANAM 2018) (Roma, Italy, du 02/07/2018 au 06/07/2018). In: Proceedings of the 25th ISMANAM 2018, 2018, p. ID #274.
Raskin, Jean-Pierre. RF characterization and modelling of SOI MOSFETs. SINANO Multi-Scale Modelling Summer School (Universitat Rovira i Virgili, Tarragona (Spain), du 25/09/2018 au 28/09/2018).
Vangrunderbeeck, P. ; Malcourant, E. ; Lecoq, J. ; Ducarme, D. ; Raskin, Jean-Pierre. Un escape game pour préparer des étudiants de master au stage en entreprise. Colloque AUPTIC.education 2018 - Les technologies au service du pédagogique (Bienne (Suisse), du 21/11/2018 au 23/11/2018).
Haddad, Pierre-Antoine ; Flandre, Denis ; Raskin, Jean-Pierre. A Quasi-Static Model of Silicon Substrate Effects in Graphene Field Effect Transistors. In: IEEE Electron Device Letters, Vol. 38, no.7, p. 987-990 (July 2017). doi:10.1109/LED.2017.2706362.
Tang, Xiaohui ; Raskin, Jean-Pierre ; Lahem, Driss ; Krumpmann, Arnaud ; Decroly, Andre ; Debliquy, Marc. A formaldehyde sensor based on molecularly imprinted polymer on TiO2 nanotubes. In: Sensors, Vol. 17, no.4, p. 675 (March 2017). doi:10.3390/s17040675.
Jaiswar, Rajkumar Rampati ; Danlée, Yann ; Mesfin, Henok Mebratie ; Delcorte, Arnaud ; Hermans, Sophie ; Bailly, Christian ; Raskin, Jean-Pierre ; Huynen, Isabelle. Absorption modulation of FSS-polymer nanocomposites through incorporation of conductive nanofillers. In: Applied Physics A, , p. 123-164 (2017). doi:10.1007/s00339-017-0805-9.
Hammad, Mohamed ; Adjizian, Jean Joseph ; Sacré, Charles-Henri ; Huet, Benjamin ; Charlier, Jean-Christophe ; Raskin, Jean-Pierre ; Pardoen, Thomas. Adhesionless and near-ideal contact behavior of graphene on Cu thin film. In: Carbon, Vol. 122, p. 446-450 (2017). doi:10.1016/j.carbon.2017.06.037.
Kazemi Esfeh, Babak ; Ben Ali, Khaled ; Raskin, Jean-Pierre. Compact On-Wafer Test Structures for Device RF Characterization. In: IEEE Transactions on Electron Devices, Vol. 64, no.8, p. 3101-3107 (August 2017). doi:10.1109/TED.2017.2717196.
Lapouge, Pierre ; Onimus, F. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Creep behavior of submicron copper films under irradiation. In: Acta Materialia, Vol. 131, p. 77-87 (2017). doi:10.1016/j.actamat.2017.03.056.
Tang, Xiaohui ; Mager, Nathalie ; Vanhorenbeke, Béatrice ; Hermans, Sophie ; Raskin, Jean-Pierre. Defect-free functionalized graphene sensor for formaldehyde detection. In: Nanotechnology, Vol. 28, no. 5, p. number 055501 (2017). doi:10.1088/1361-6528/28/5/055501.
Belaroussi, Y. ; Rack, Martin ; Saadi, A.A. ; Scheen, Gilles ; Belaroussi, M.T. ; Trabelsi, M. ; Raskin, Jean-Pierre. High quality silicon-based substrates for microwave and millimeter wave passive circuits. In: Solid-State Electronics, Vol. 135, no., p. 78-84 (2017). doi:10.1016/j.sse.2017.06.028.
Belaroussi, Y. ; Rack, Martin ; Saadi, A. A. ; Scheen, Gilles ; Belaroussi, M. T. ; Trabelsi, M. T. ; Raskin, Jean-Pierre. High-quality silicon-based substrates for microwave millimeterwave passive circuits integration. In: Solid-State Electronics, Vol. 135, p. 78-84 (2017).
Ghidelli, Matteo ; Idrissi, Hosni ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas. Homogeneous flow and size dependent mechanical behavior in highly ductile Zr65Ni35 metallic glass films. In: Acta Materialia, Vol. 131, p. 246-259 (2017). doi:10.1016/j.actamat.2017.03.072.
Tuyaerts, Romain ; Poncelet, Olivier ; Raskin, Jean-Pierre ; Proost, Joris. Internal stress and opto-electronic properties of ZnO thin films deposited by reactive sputtering in various oxygen partial pressures. In: Journal of Applied Physics, Vol. 122, no.15, p. 155306 (2017). doi:10.1063/1.4996453.
van der Rest, Astrid ; Idrissi, Hosni ; Henry, Frédéric ; Favache, Audrey ; Schryvers, Dominique ; Proost, Joris ; Raskin, Jean-Pierre ; Van Overmeere, Quentin ; Pardoen, Thomas. Mechanical behavior of ultrathin sputter deposited porous amorphous Al2O3 films. In: Acta Materialia, Vol. 125, p. 27-37 (2017). doi:10.1016/j.actamat.2016.11.037.
Huet, Benjamin ; Raskin, Jean-Pierre. Pressure-controlled chemical vapor deposition of single-layer graphene with millimeter-size domains on thin Cu film. In: Chemistry of Materials, Vol. 29, no.8, p. 3431-3440 (March 2017). doi:10.1021/acs.chemmater.6b04928.
Kazemi Esfeh, Babak ; Makovejev, Sergej ; Basso, Didier ; Desbonnets, Eric ; Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre. RF SOI CMOS technology on 1st and 2nd generation trap-rich high resistivity SOI wafers. In: Solid-State Electronics, Special Issue EuroSOI-ULIS 2016, Vol. 128, no.February 2017, p. 121-128 (February 2017). doi:10.1016/j.sse.2016.10.035.
Ureña Begara, Fernando ; Crunteanu, Aurelian ; Raskin, Jean-Pierre. Raman and XPS characterization of vanadium oxide thin films with temperature. In: Applied Surface Science, Vol. 403, no.1 May 2017, p. 717-727 (May 2017). doi:10.1016/j.apsusc.2017.01.160.
Passi Vikram ; Raskin, Jean-Pierre. Review on analog RF performance of advanced MOSFET. In: Semiconductor Science and Technology, Vol. 32, no.12, p. 123004 (2017). doi:10.1088/1361-6641/aa9145.
Sun, Xiao ; Rack, Martin ; Van der Plas, Geert ; Raskin, Jean-Pierre ; Beyne, Eric. Modeling and Characterization of TSV-Induced Noise Coupling. In: Thomas Noulis, Noise Coupling in System-on-Chip (Devices, Circuits, and Systems; ), CRC Press, Taylor & Francis Group: 6000 Broken Sound Parkway NW, Suite 300 Boca Raton, FL 33487-2742, USA, 2017, p. 195-232. 9781498796774.
Kazemi Esfeh, Babak ; Makovejev S. ; Allibert F. ; Raskin, Jean-Pierre. A SPDT RF switch small- and large-signal characteristics on TR-HR SOI substrates. IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (San Francisco, USA, du 16/10/2017 au 19/10/2017). In: Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, IEEE, 2017, p. paper #11.2.
Jaiswar, Rajkumar Rampati ; Mederos Henry, Francisco ; Dupont, Vedi ; Hermans, Sophie ; Delcorte, Arnaud ; Bailly, Christian ; Delmotte, Cathy ; Lardot, Véronique ; Raskin, Jean-Pierre ; Huynen, Isabelle. A Thin Ultra-wideband Microwave Absorbing Structure Printed On Flexible substrate With Resistive-Ink Made Of Multiwall Carbon-Nanotube. METAMATERIALS 2017 (Marseillen France, du 28/08/2017 au 02/09/2017). In: Proceedings of Metamaterials 2017 Conference, 2017. 978-88-941141-2-6, p. 686-688.
Tang, Xiaohui ; Lahem, Driss ; Raskin, Jean-Pierre ; Debliquy, Marc. A hybrid gas sensor based on compound of graphene with polypyrrole. 31st International Conference on Surface Modification Technologies (Mons (Belgium), du 05/07/2017 au 07/07/2017).
Tang, Xiaohui ; Debliquy, Marc ; Lahem, Driss ; Flandre, Denis ; André, Nicolas ; Walewyns, Thomas ; Francis, Laurent ; Raskin, Jean-Pierre. A hybrid graphene-metal oxide sensor for formaldehyde detection at room temperature. 2016 13th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2016) (Beijing (China), du 25/10/2016 au 28/10/2016).
Liu, Linjie ; Han, Qinghua ; Makovejev, Sergej ; Trellenkamp, S. ; Raskin, Jean-Pierre ; Manti, S. ; Zhao, Qing-Tai. Analog and RF analysis of gate-all-around silicon nanowire MOSFET. 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon – ULIS (Athens, Greece, du 03/04/2017 au 05/04/2017). In: Proceedings of 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon – ULIS, IEEE, 2017, p. Poster # 8. doi:10.1109/ULIS.2017.7962575.
Haddad, Pierre-Antoine ; Stas, François ; Raskin, Jean-Pierre ; Bol, David ; Flandre, Denis. Automated Layout-integrated Sizing of a 2.45 GHz Differential-Drive Rectifier in 28 nm FDSOI CMOS. 2017 IEEE Wireless Power Transfer Conference (WPTC 2017) (Taipei (Taiwan), du 10/05/2017 au 12/05/2017). In: Proceedings of the 2017 IEEE Wireless Power Transfer Conference (WPTC 2017), 2017. doi:10.1109/WPT.2017.7953845.
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Parvais, Bertrand ; Planes, Nicolas ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. Back-gate bias effect on 3-port UTBB-FDSOI non-linearity performance. 2017 47th European Solid-State Device Research Conference (ESSDERC 2017) (Leuven (Belgium), du 11/09/2017 au 14/09/2017). In: proceedings of ESSDERC 2017. In: Proceedings of ESSDERC 2017, IEEE, 2017. doi:10.1109/ESSDERC.2017.8066613.
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Parvais, Bertrand ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. Back-gate bias effect on FDSOI MOSFET RF Figures of Merits and Parasitic Elements. 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS 2017) (Athens (Greece), du 03/04/2017 au 05/04/2017). In: Proceedings of EUROSOI-ULIS 2017, (03/07/2017). In: Proceedings of the 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS 2017), IEEE, 2017. doi:10.1109/ULIS.2017.7962569.
Tang, Xiaohui ; Raskin, Jean-Pierre. Characterization of high-efficiency multi-crystalline silicon in industrial production. 2017 International Conference on New Energy and Future Energy System - NEFES 2017 (Kumming, Southwest China (China), du 22/09/2017 au 25/09/2017).
Kilchytska, Valeriya ; Kazemi Esfeh, Babak ; Gimeno Gasca, Cecilia ; Parvais, B. ; Planes, N. ; Hahond, M. ; Raskin, Jean-Pierre ; Flandre, Denis. Comparative study of non-linearities in 28 nm node FDSOI and Bulk MOSFETs. 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon – ULIS (Athens, Greece, du 03/04/2017 au 05/04/2017). In: Proceedings of 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon – ULIS, 2017, p. session 10, paper # 1. doi:10.1109/ULIS.2017.7962581.
Raskin, Jean-Pierre. Current status and trends in RF SOI material and device. SEMICON Europa 2017 (Munich, Germany, du 14/11/2017 au 17/11/2017). In: Proceedings of the SEMICON Europa 2017, 2017, p. 3 pages.
Haddad, Pierre-Antoine ; Raskin, Jean-Pierre ; Flandre, Denis. Efficient passive energy harvesters at 950 MHz and 2.45 GHz for 100 μW applications in 65 nm CMOS. 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS) (Monte Carlo (Monaco), du 11/12/2016 au 14/12/2016). In: Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS), IEEE, 2017. 978-1-5090-6113-6, 508-511. doi:10.1109/ICECS.2016.7841250.
Tuyaerts, Romain ; Raskin, Jean-Pierre ; Proost, Joris. Electromechanical testing of ZnO thin films under high uniaxial strain. The 30th International Conference on Microelectronic Test Structures – ICMTS 2017 (Grenoble, France, du 28/03/2017 au 30/03/2017). In: Proceedings of the 30th International Conference on Microelectronic Test Structures – ICMTS 2017, IEEE, 2017, p. paper # 2.3. doi:10.1109/ICMTS.2017.7954261.
Daudin, Rémi ; Coulombier, Michaël ; Schülli, Tobias ; Zhou, Tao ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. Etude de la déformation locale de films minces de verres métalliques par nano-diffraction de rayons X synchrotron. Journées annuelles de la SF2M, Matériaux, microstructures et fonctionnalités (Lyon, France, du 23/10/2017 au 25/10/2017). In: Book of abstracts - Journées annuelles de la SF2M 2017, 2017, JA0035.
Debliquy, M. ; Lahem, D. ; Tang, Xiaohui ; Bilteryst, L. ; Raskin, Jean-Pierre. Formaldehyde detection for indoor air quality. Towards Reality in Nanoscale Materials IX (TRNM) - Nanoscale Materials for Warfare Agent Detection: Nanoscience for security (Levi, Finland, du 13/02/2017 au 16/02/2017). In: Proc of the Towards Reality in Nanoscale Materials IX (TRNM) - Nanoscale Materials for Warfare Agent Detection: Nanoscience, 2017, p. 20-21.
Raskin, Jean-Pierre ; Merle, Stéphanie. IngénieuxSud – a collaborative initiative between Northern and Southern organizations for helping scientists to raise questions about the impact of the technologies on the society. Sustainable energy for Africa (Palace of the Academies, Brussels (Belgium), du 23/10/2017 au 25/10/2017).
Haddad, Pierre-Antoine ; Flandre, Denis ; Raskin, Jean-Pierre. Intrinsic rectification in gated CVD graphene ribbons. Graphene Barcelona 2017 (Barcelona, Spain, du 28/03/2017 au 31/03/2017). In: Proceedings of Graphene Barcelona 2017, 2017, p. paper #19.
Flandre, Denis ; Kilchytska, Valeriya ; Gimeno Gasca, Cecilia ; Bol, David ; Kazemi Esfeh, Babak ; Raskin, Jean-Pierre. Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers. MOS-AK Workshop (Leuven (Belgium), 11/09/2017).
Debliquy, Marc ; Lahem, Driss ; Krumpmann, Arnaud ; Gonzalez Vila, Alvaro ; Raskin, Jean-Pierre ; Zhang, Chao ; Caucheteur, Christophe. Molecularly Imprinted Polymers for VOC Sensing: chemoresistive and optical Sensors. FiMPART Conference (Bordeaux (France), du 09/07/2017 au 12/07/2017).
Van Brandt, Léopold ; Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Parvais, Bertrand ; Flandre, Denis. Optimal measurement parameters for accurate time-domain and spectral analyses of RTN. 47th IEEE European Solid-State Device Research Conference (ESSDERC) (Leuven, Belgium, du 11/09/2017 au 14/09/2017).
Huet, Benjamin ; Raskin, Jean-Pierre. Pressure-controlled chemical vapor deposition of single-layer graphene with millimeter-size domains on thin Cu film. Graphene Barcelona 2017 (Barcelona, Spain, du 28/03/2017 au 31/03/2017). In: Proceedings of Graphene Barcelona 2017, 2017, p. paper #315.
Raskin, Jean-Pierre. RF SOI, from material to devices. Short Course SMC, IEEE International Microwave Symposium – IMS’17 (Honolulu, Hawaii (USA), du 04/06/2017 au 09/06/2017).
Rack, Martin ; Raskin, Jean-Pierre. RF harmonic distortion modeling in CPW lines on silicon-based substrates including non-equilibrium carrier dynamics. 2017 IEEE/MTT-S International Microwave Symposium - IMS 2017 (Honololu, HI, USA, du 4/6/2017 au 9/6/2017). In: 2017 IEEE MTT-S International Microwave Symposium (IMS), IEEE, 2017. 9781509063604. doi:10.1109/mwsym.2017.8058737.
Raskin, Jean-Pierre. Small and large-signal wideband characterization of RF SOI technology. The 30th International Conference on Microelectronic Test Structures – ICMTS 2017 (Grenoble, France, du 28/03/2017 au 30/03/2017). In: Proceedings of The 30th International Conference on Microelectronic Test Structures – ICMTS 2017, 2017, p. invited paper#1.
Rack, Martin ; Raskin, Jean-Pierre. Small- and large-signal RF modeling of silicon-based substrates. ESSDERC/ESSCIRC 2017 (Leuven, Belgium, du 11/09/2017 au 14/09/2017).
André, Nicolas ; Delhaye, Thibault ; Al Kadi Jazairli, Mohamad ; Olbrechts, Benoit ; Gérard, Pierre ; Francis, Laurent ; Raskin, Jean-Pierre ; Flandre, Denis. Ultra-low-power SOI CMOS pressure sensor based on orthogonal PMOS gauges. 22nd IMEKO TC4 International Symposum & 20th International Workshop on ADC Modelling and Testing (Iasi (Romania), du 14/09/2017 au 15/09/2017), p. 6. In: Proceedings of the 22nd IMEKO TC4 International Symposium & 20th International Workshop on ADC Modelling and testing, 2017.
Flandre, Denis ; André, Nicolas ; Al Kadi Jazairli, Mohamad ; Olbrechts, Benoit ; Gilet, Samuel ; Haddad, Pierre-Antoine ; Gimeno Gasca, Cecilia ; Raskin, Jean-Pierre. vers des capteurs implantés de quelques mm³ à consommation ultra faible, avec transmissions de puissance en RF et de données en UWB. Ecole d'hiver francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH 2017) (Québec (Canada), du 09/01/2017 au 11/01/2017).
Favache, Audrey ; Ryelandt, Sophie ; Melchior, Maxime ; Zeb, Gul ; Carbonnelle, Pierre ; Raskin, Jean-Pierre ; Pardoen, Thomas. A generic “micro-Stoney” method for the measurement of internal stress and elastic modulus of ultrathin films. In: Review of Scientific Instruments, Vol. 87, no.1, p. 015002 (2016). doi:10.1063/1.4939912.
Lapouge, Pierre ; Onimus, Fabien ; Vayrette, Renaud ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Bréchet, Yves. A novel on chip test method to characterize the creep behavior of metallic layers under heavy ion irradiation. In: Journal of Nuclear Materials, Vol. 476, p. 20-29 (2016). doi:10.1016/j.jnucmat.2016.04.014.
Pardoen, Thomas ; Colla, Marie-Stéphane ; Idrissi, Hosni ; Amin-Ahmadi, Behnam ; Wang, Binjie ; Schryvers, Dominique ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre. A versatile lab-on-chip test platform to characterize elementary deformation mechanisms and electromechanical couplings in nanoscopic objects. In: Comptes rendus. Physique, Vol. 17, no.3-4, p. 485-495 (2016). doi:10.1016/j.crhy.2015.11.005.
Debliquy, M. ; Dony, N. ; Lahem, D. ; Tang, Xiaohui ; Zhang, C. ; Raskin, Jean-Pierre ; Olivier, M.-G.. Acetaldehyde chemical sensor based on molecularly imprinted polymer polypyrrole. In: Procedia Engineering, Vol. 168, no.Available online 4 January 2017, p. 569-573 (2016). doi:10.1016/j.proeng.2016.11.527.
Haddad, Pierre-Antoine ; Gosset, Geoffroy ; Raskin, Jean-Pierre ; Flandre, Denis. Automated Design of a 13.56 MHz 19µW Passive Rectifier With 72% Efficiency Under 10µA load. In: IEEE Journal of Solid State Circuits, Vol. 51, no.5, p. 12 (05/2015). doi:10.1109/JSSC.2016.2527714.
Trabelsi, Mohamed ; Taibi, Abdelkader ; Slimane, A. ; Saadi, A.A. ; Belaroussi, Mohand Tahar ; Raskin, Jean-Pierre. Compact UWB bandpass filter with notch band using the impedance matching method. In: Microwave & Optical Technology Letters, Vol. 58, no.9, p. 2176-2178 (September 2016). doi:10.1002/mop.30004.
Raskin, Jean-Pierre. FinFET and UTBB for RF SOI communication systems. In: Solid-State Electronics, Vol. 125, p. 73-81 (2016).
Vayrette, Renaud ; Galceran, M. ; Coulombier, Michaël ; Godet, S. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Fracture mechanisms in freestanding polycrystalline silicon films with nanoscale thickness. In: Engineering Fracture Mechanics, Vol. 68, no.Part A, p. 190-203 (December 2016). doi:10.1016/j.engfracmech.2016.10.003.
Raskin, Jean-Pierre. La technologie RF SOI dans 100% de nos smartphones. In: Revue de l'Electricite et de l'Electronique, Vol. 1, p. 13-16 (2016).
Solis Avila, Edgard ; Tinoco, Julio C. ; Martinez-Lopez, Andre ; Reyes-Barranca , Mario Alfredo ; Cerdeira, Antonio ; Raskin, Jean-Pierre. Parasitic gate resistance impact on Triple Gate FinFET CMOS inverter. In: IEEE Transactions on Electron Devices, Vol. 63, no.7, p. 2635-2642 (May 2016). doi:10.1109/TED.2016.2558580.
Bui, Thanh Nhan ; Raskin, Jean-Pierre ; Hackens, Benoît. Semiconductor- to metallic-like behavior in Bi thin films on KCl substrate. In: Journal of Applied Physics, Vol. 119, no.13, p. 135304 (2016). doi:10.1063/1.4945036.
Dutu, Constantin Augustin ; Vlad, Alexandru ; Roda Neve, Cesar ; Avram, Ionel ; Sandu, Georgiana ; Raskin, Jean-Pierre ; Melinte, Sorin. Surveying colloid sedimentation by coplanar waveguides. In: Nanotechnology, Vol. 27, p. 225502 (2016). doi:10.1088/0957-4484/27/22/225502.
Nascimento Santos, Cristiane ; Joucken, Frédéric ; De Sousa Meneses, Domingos ; Echegut, Patrick ; Campos-Delgado, Jessica ; Louette, Pierre ; Raskin, Jean-Pierre ; Hackens, Benoît. Terahertz and mid-infrared reflectance of epitaxial graphene. In: Scientific Reports, Vol. 6, no.6, p. 24301 (2016). doi:10.1038/srep24301.
Pham, Trung T. ; Santos, Cristiane N. ; Joucken, Frédéric ; Hackens, Benoît ; Raskin, Jean-Pierre ; Sporken, Robert. The role of SiC as a diffusion barrier in the formation of graphene on Si(111). In: Diamond and Related Materials, Vol. 66, no.0925-9635, p. 141-148 (2016). doi:10.1016/j.diamond.2016.04.011.
Tang, Xiaohui ; Debliquy, Marc ; Lahem, Driss ; Flandre, Denis ; André, Nicolas ; Walewyns, Thomas ; Francis, Laurent ; Raskin, Jean-Pierre. A hybrid graphene-metal oxide sensor for formaldehyde detection at room temperature. 2016 13th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2016) (Beijing (China), du 25/10/2016 au 28/10/2016).
Idrissi, Hosni ; Ghidelli, Matteo ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, Dominique. Advanced TEM Study of Homogeneous Flow and Size Dependent Mechanical Behaviour in Highly Ductile Zr65Ni35 Metallic Glass Films. MRS Fall Meeting 2016 (Boston, MA, USA, du 27/11/2016 au 02/12/2016). In: European Microscopy Congress 2016: Proceedings, 2016. doi:10.1002/9783527808465.EMC2016.5990.
Haddad, Pierre-Antoine ; Raskin, Jean-Pierre ; Flandre, Denis. Automated design of a 13.56 MHz Corner-robust Efficient Differential Drive Rectifier for 10 μA load. 2016 IEEE International Symposium on Circuits and Systems (ISCAS) (Montréal (Canada), du 22/05/2016 au 25/05/2016). doi:10.1109/ISCAS.2016.7538924.
Dutu, Constantin Augustin ; Vlad, Alexandru ; Roda Neve, Cesar ; Avram, Ionel ; Sandu, Georgiana ; Raskin, Jean-Pierre ; Melinte, Sorin. Coplanar waveguide devices: Surveying nanocolloid dynamics. E-MRS Spring Meeting (Lille (France), du 02/05/2016 au 06/05/2016). In: Proceedings of the 2016 E-MRS Spring Meeting, 2016, 1.
Birouk, B. ; Raskin, Jean-Pierre. Electrical conductivity extracted from optical characterization of polysilicon films. Proceedings of the 2nd International Conference on Electrical Engineering and Electronics - EEE'16 (Budapest (Hungary), du 16/08/2016 au 17/08/2016). In: Proceedings of the 2nd International Conference on Electrical Engineering and Electronics - EEE'16, 2016, poster # EEE 132.
Emam, Mostafa ; Raskin, Jean-Pierre. Engineered Si-based substrates for the state-of-the-art RF devices and IoT applications. RF Technology Day (Leuven (Belgium), 14/04/2016).
Fates, Rachid ; Haddad, P.-A. ; Huet, B. ; Bouridah, H. ; Raskin, Jean-Pierre. Experimental and theoretical investigation of the graphene ribbons nonlinear electrical behavior. International Conference on Diamond and Carbon Materials (Montpellier (France), du 04/09/2016 au 08/09/2016). In: International Conference on Diamond and Carbon Materials, 2016, poster # P13.64.
Rack, Martin ; Raskin, Jean-Pierre ; Sun, X. ; Van der Plas, G. ; Absil, P. ; Beyne, E.. Fast and Accurate Modelling of Large TSV Arrays in 3D-ICs Using a 3D Circuit Model Validated Against Full-Wave FEM Simulations and RF Measurements. 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (Las Vegas, NV, USA, du 31/5/2016 au 3/6/2016). In: 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), IEEE, 2016. 9781509012046. doi:10.1109/ectc.2016.227.
Rack, Martin ; Ben Ali, K. ; Raskin, Jean-Pierre ; Sun, X. ; Roda Neve, C. ; Van der Plas, G. ; Stucchi, M.. Fast and accurate modelling of large TSV arrays in 3D-ICs using a 3D circuit model validated against full-wave FEM simulations and RF measurements. The 66th IEEE Electronic Components and Technology Conference – ECTC’16 (Las Vegas, Nevada (USA), du 31/05/2016 au 03/06/2016).
Tang, Xiaohui ; Recckinger, N. ; Mager, N. ; Vanhorenbeke, B. ; Hermans, S. ; Raskin, Jean-Pierre. Graphene for gas sensor applications. Graphene Week 2016 conference (Warsaw (Poland), du 13/06/2016 au 17/06/2016).
Sun, Xiao ; Rack, Martin ; Van der Plas, G. ; Stucchi, M. ; De Vos, J. ; Absil, P. ; Raskin, Jean-Pierre ; Beyne, E.. Investigation of TSV noise coupling in 3D-ICs using an experimental validated 3D TSV circuit model including Si substrate effects and TSV capacitance inversion behavior after wafer thinning. 2016 IEEE/MTT-S International Microwave Symposium (IMS) (San Francisco, CA, du 22/5/2016 au 27/5/2016). In: 2016 IEEE MTT-S International Microwave Symposium (IMS), IEEE, 2016. 9781509006984. doi:10.1109/mwsym.2016.7540397.
Rack, Martin ; Ben Ali, K. ; Raskin, Jean-Pierre ; Sun, X. ; Roda Neve, C. ; Van der Plas, G. ; Stucchi, M.. Investigation of TSV noise coupling in 3D-ICs using an experimental validated 3D TSV circuit model including Si substrate effects and anomalous TSV capacitance behavior after wafer thinning. International Microwave Symposium – IMS 2016 (San Francisco, CA (USA), du 22/05/2016 au 27/05/2016). In: International Microwave Symposium – IMS 2016, 2016. doi:10.1109/MWSYM.2016.7540397..
Coulombier, Michaël ; Favache, Audrey ; Idrissi, Hosni ; Lemoine, Guerric ; Tuyaerts, Romain ; van der Rest, Astrid ; Hammad, Mohamed ; Ureña Begara, Fernando ; Pardoen, Thomas ; Raskin, Jean-Pierre. Lab on-chip for testing thin film materials: extraction of mechanical properties at the nanometer scale. Belgian Physical Society Meeting (Ghent (Belgium), 18/05/2016). In: Belgian Physical Society Meeting, 2016, paper #62.
Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Lab-on-chip for testing thin film materials: extraction of mechanical and electrical properties under large deformation at the nanometer scale. Third Winfab Scientific Day (UCL, Louvain-la-Neuve (Belgium), 29/01/2016).
Ben Ali, K. ; Raskin, Jean-Pierre. Nonlinear characteristics and RF losses of CPW and TFMS lines over a wide temperature range. 16th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’16 (Austin, Texas (USA), du 24/01/2016 au 27/01/2016). In: 16th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’16, 2016, 24-26. doi:10.1109/SIRF.2016.7445458.
Debliquy, M. ; Lahem, D. ; Bueno, A. ; Caucheteur, C. ; Raskin, Jean-Pierre ; Bouvet, M.. Phthalocyanine based optical fiber sensors. International Conference on Porphyrins and Phthalocyanines (ICPP-9) (Nanjing (China), du 03/07/2016 au 08/07/2016). In: International Conference on Porphyrins and Phthalocyanines (ICPP-9), 2016, 65.
Scheen, G. ; Rasson, J. ; Belaroussi, Y. ; Poncelet, O. ; Majoul, N. ; Raskin, Jean-Pierre ; Francis, Laurent. Porous Silicon: when void enables new Si application fields. Third Winfab Scientific Day (UCL, Louvain-la-Neuve (Belgium), 29/01/2016).
Belaroussi, Y. ; Saadi, A. ; Slimane, A. ; Belaroussi, M.-T. ; Trabelsi, M. ; Scheen, G. ; Raskin, Jean-Pierre. Porous silicon substrate for millimeter-waves applications. Porous Semiconductors - Science and Technology – PSST (Tarragona (Spain), du 06/03/2016 au 11/03/2016).
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre. RF SOI CMOS Technology on 1st and 2nd Generation Trap-Rich High Resistivity SOI Wafers. 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS 2016) (Vienne (Austria), du 25/01/2016 au 27/01/2016). In: Proceedings de la conférence EUROSOI-ULIS 2016, IEEE, 2016. 978-1-4673-8609-8, 159-161. doi:10.1109/ULIS.2016.7440077.
Idrissi, Hosni ; Ghidelli, M. ; Gravier, S. ; Blandin, J.J. ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, D.. Recent investigation of small-scale plasticity mechanisms in 3D and small-sized systems using advanced in-situ TEM nanomechanical testing. European Microscopy Conference (EMC2016) (Lyon, France, du 28/08/2016 au 02/09/2016). In: European Microscopy Congress 2016: Proceedings, 2016. doi:10.1002/9783527808465.EMC2016.8312.
Raskin, Jean-Pierre. SOI technology pushes the limits of CMOS for RF applications. 16th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’16 (Austin, Texas (USA), du 24/01/2016 au 27/01/2016). In: 16th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’16, 2016, 17-20. doi:10.1109/SIRF.2016.7445456.
Raskin, Jean-Pierre. SOI technology pushes the limits of CMOS for RF applications. Microelectronics Technology, Circuits, and Systems for Space Applications Workshop (Sabanci University, Itanbul (Turkey), du 15/06/2016 au 17/06/2016). In: Microelectronics Technology, Circuits, and Systems for Space Applications Workshop, 2016, 20.
Idrissi, Hosni ; Ghidelli, Matteo ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas. Size dependent mechanical behavior and nanoscale plasticity mechanisms in highly ductile zr65ni35 metallic glass films. EMMC15, 15th European Mechanics of Materials Conference (Brussels, Belgium, du 07/09/2016 au 09/09/2016).
Tuyaerts, R. ; Raskin, Jean-Pierre ; Proost, J.. Strain engineering of ZnO thin films deposited by DC reactive sputtering. Third Winfab Scientific Day (UCL, Louvain-la-Neuve (Belgium), 29/01/2016).
Al Kadi Jazairli, Mohamad ; André, Nicolas ; Tooten, Ester ; Olbrechts, Benoit ; Raskin, Jean-Pierre ; Flandre, Denis. Ultra-low-power 130nm SOI CMOS smart sensor for in-situ mechanical stress in SiP and SoC applications. 14th International Conference Reliability and Stress-Related Phenomena in Nanoelectronics - Experiment and Simulation (IRSP 2016) (Bad Schandau (Germany), du 30/05/2016 au 01/06/2016).
Herrera-May, A.L. ; Lara-Castro, M. ; Lopez-Huerta, F. ; Gkotsis, Petros ; Raskin, Jean-Pierre ; Figueras, E.. A MEMS-based magnetic field sensor with simple resonant structure and linear electrical response. In: Microelectronic Engineering, Vol. 142, p. 12-21 (July 2015). doi:10.1016/j.mee.2015.06.009.
Taibi, Abdelkader ; Trabelsi, Mohamed ; Sliman, Abdelhalim ; Belaroussi, Mohand Tahar ; Raskin, Jean-Pierre. A novel design method for compact UWB bandpass filters. In: IEEE Microwave and Wireless Components Letters, Vol. 25, no.1, p. 4-6 (January 2015). doi:10.1109/LMWC.2014.2363016.
Navarro, Etienne ; Bréchet, Yves ; Barthelemy, A. ; Radu, I. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Adhesion and separation models for direct hydrophilic bonding. In: Journal of Applied Physics, Vol. 117, p. 085305-1 - 085305-6 (2015). doi:10.1063/1.4913481.
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Barral, V. ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. Assessment of 28nm UTBB FD-SOI technology platform for RF applications: Figures of merit and effect of parasitic elements. In: Solid-State Electronics, Vol. 117, p. 130-137 (11/12/2015). doi:10.1016/j.sse.2015.11.020.
Makovejev, Sergej ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Comparison of self-heating and its effect on analogue performance in 28 nm bulk and FDSOI. In: Solid-State Electronics, Vol. 115, p. 219-224 (2015). doi:10.1016/j.sse.2015.08.022.
Tang, Xiaohui ; Reckinger, Nicolas ; Poncelet, Olivier ; Louette, Pierre ; Ureña Begara, Fernando ; Idrissi, Hosni ; Turner, Stuart ; Cabosart, Damien ; Colomer, Jean-François ; Raskin, Jean-Pierre ; Hackens, Benoît ; Francis, Laurent. Damage evaluation in graphene underlying atomic layer deposition dielectrics. In: Scientific Reports, Vol. 5, no. 13523, p. 12 (2015). doi:10.1038/srep13523.
Colla, Marie-Stéphane ; Amin-Ahmadi, B. ; Idrissi, Hosni ; Malet, L. ; Godet, S. ; Raskin, Jean-Pierre ; Schryvers, D. ; Pardoen, Thomas. Dislocation-mediated relaxation in nanograined columnar palladium films revealed by on-chip time-resolved HRTEM testing. In: Nature Communications, Vol. 6, p. 5922 (2015). doi:10.1038/ncomms6922.
Changizi, Amin ; Stiharu, Ion ; Olbrechts, Benoit ; Raskin, Jean-Pierre. Extraction method for the residual stress in multilayer micro-plates under large deflection based on static deflection analysis. In: IEEE Journal of Microelectromechanical Systems, Vol. 24, no. 4, p. 1150-1163. doi:10.1109/JMEMS.2015.2388532.
Ghidelli, Matteo ; Gravier, S. ; Blandin, J.-J. ; Djemia, P. ; Mompiou, F. ; Abadias, G. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Extrinsic mechanical size effects in thin ZrNi metallic glass films. In: Acta Materialia, Vol. 90, p. 232-241 (2015). doi:10.1016/j.actamat.2015.02.038.
Favache, Audrey ; Sacre, Charles-Henry ; Coulombier, Michaël ; Libralesso, Laure ; Guaino, Philippe ; Raskin, Jean-Pierre ; Bailly, Christian ; Nysten, Bernard ; Pardoen, Thomas. Fracture mechanics based analysis of the scratch resistance of thin brittle coatings on a soft interlayer. In: Wear, Vol. 330-331, p. 461-468 (2015). doi:10.1016/j.wear.2015.01.081.
Gkotsis, Petros ; Castro, Lara ; Lopez-Huerta, F. ; Herrera-May, A.L. ; Raskin, Jean-Pierre. Mechanical characterization and modelling of Lorentz force based MEMS magnetic field sensors. In: Solid-State Electronics, Vol. 112, no.Special Issue EuroSOI’14, p. 68-77 (October 2015). doi:10.1016/j.sse.2015.02.004.
Mulay, Shantanu S. ; Becker, G. ; Vayrette, R. ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Galceran, M. ; Godet, S. ; Noels, L.. Multiscale fracture studies of polycrystalline silicon-based MEMS. In: Computational Mechanics, Vol. 55, no.1, p. 73-91 (2015).
Mulay, Shantanu S. ; Becker, Gauthier ; Vayrette, Renaud ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Galceran, Montserrat ; Godet, Stéphane ; Noels, Ludovic. Multiscale modelling framework for the fracture of thin brittle polycrystalline films: application to polysilicon. In: Computational Mechanics : solids, fluids, engineered materials, aging, infrastructure, molecular dynamics, heat transfer, manufacturing processes, optimization, fracture and integrity, Vol. 55, no. 1, p. 73-91 (2015). doi:10.1007/s00466-014-1083-4.
Vais, Abhitosh ; Lin, Han-Chung ; Dou, Chunmeng ; Martens, Koen ; Ivanov, Tzvetan ; Xie, Qi ; Tang, Fu ; Given, Michael ; Maes, Jan ; Collaert, Nadine ; Raskin, Jean-Pierre. On the temperature dependence of frequency dispersion in C-V measurements of III-V MOS devices and its application in spatial profiling of border traps. In: Applied Physics Letters, Vol. 107, no.5, p. 053504-1 - 053504-5 (May 2015). doi:10.1063/1.4928332.
Vayrette, Renaud ; Raskin, Jean-Pierre ; Pardoen, Thomas. On-chip fracture testing of freestanding nanoscale materials. In: Engineering Fracture Mechanics, Vol. 150, p. 222-238 (2015). doi:10.1016/j.engfracmech.2015.07.006.
Martinez-Lopez, A.G. ; Cerdeira, Antonio ; Tinoco, Julio C. ; Alvarado, J. ; Padron, W.Y. ; Mendoza, C. ; Raskin, Jean-Pierre. RF modeling of 40-nm triple-gate SOI FinFET. In: International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol. 28, p. 465-478 (January 2015). doi:10.1002/jnm.2028.
Kilchytska, Valeriya ; Makovejev, Sergej ; Barraud, S. ; Poiroux, T. ; Raskin, Jean-Pierre ; Flandre, Denis. Trigate nanowire MOSFETs analog figures of merit. In: Solid-State Electronics, Vol. 112, p. 78-84 (24/02/2015). doi:10.1016/j.sse.2015.02.003.
Makovejev, Sergej ; Kazemi Esfeh, Babak ; Barral, V. ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Wide frequency band assessment of 28 nm FDSOI technology platform for analogue and RF applications. In: Solid-State Electronics, , p. 6 (2015). doi:10.1016/j.sse.2014.12.007.
Fivel, Marc ; Hammad, Mohamed ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas. 3D Dislocation Dynamics simulations of naonindentation: application to Cu/graphene bilayer system.. MRS Fall Meeting & Exhibit (Boston, USA, du 29/11/2015 au 04/12/2015). In: Proceedings of the MRS Fall Meeting & Exhibit, 2015.
Rack, Martin ; Raskin, Jean-Pierre. 3DCIRCUIT MODEL FOR TSV COUPLING INCLUDING ANOMALOUS CV. Partner Technical Week 2015 (imec, Leuven, Belgium, du 19/10/2015 au 22/10/2015).
Flandre, Denis ; Kilchytska, Valeriya ; Bol, David ; Francis, Laurent ; André, Nicolas ; Raskin, Jean-Pierre. Analog/RF, sensors and MEMS in SOI: demos and performance assessment. SOI Workshop (Dresden (Germany), du 07/07/2015 au 07/07/2015). In: , 2015, 26.
Tinoco, J. C. ; Solis, E. ; Reyes-Barranca, A. ; Cerdeira, A. ; Raskin, Jean-Pierre. CMOS inverter based on Triple-Gate FinFETs for low power electronics. 4th International Symposium on Energy Challenges and Mechanics - working on small scales (ECM4) (Aberdeen, Scotland (United Kingdom), du 11/08/2015 au 13/08/2015). In: 4th International Symposium on Energy Challenges and Mechanics - working on small scales (ECM4), 2015, session 09F, paper # 5-264..
Belaroussi, Yasmine ; Slimane, Abdelhalim ; Belaroussi, M. T. ; Scheen, Gilles ; Rack, Martin ; Trabelsi, M. ; Raskin, Jean-Pierre. Caractérisation physique et dynamique du Silicium poreux pour les applications RF. 2ème journée SemiConducteurs et Oxyde Poreux (Montpellier, France, du 25/06/2015 au 26/06/2015).
Kazemi Esfeh, B. ; Kilchytska, Valeriya ; Barral, V. ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. Comparative study of effect of parasitic elements on RF FoM in 28 nm FD SOI and Bulk technologies. IEEE International SOI-3D-Subthreshold Microelectronics Technology Unified Conference – S3S’15 (Rohnert Park, CA (USA), du 05/10/2015 au 08/10/2015). In: IEEE International SOI-3D-Subthreshold Microelectronics Technology Unified Conference – S3S’15, 2015, paper 7.a.3. doi:10.1109/S3S.2015.7333532.
Amin-Ahmadi, B. ; Colla, Marie-Stéphane ; Idrissi, Hosni ; Malet, Loïc ; Godet, Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, Dominique. Dislocation mediated hardening and relaxation in nanocrystalline palladium films revealed by on-chip HRTEM time-resolved nano mechanical testing.. MMC 2015 (Manchester Central, UK, du 29/06/2015 au 02/07/2015). In: Proceedings of the MMC 2015, 2015.
Maafri, D. ; Yagoub, M. C. E. ; Touhami, R. ; Belaroussi, M. T. ; Slimane, A. ; Raskin, Jean-Pierre. Efficient small-signal extraction technique for Ultra-Thin Body and Ultra-Thin BOX FD-SOI transistor. NEMO 2015 (Ottawa (Canada), du 11/08/2015 au 14/08/2015). doi:10.1109/NEMO.2015.7415026.
Le Meil, J.-M. ; Aspar, B. ; Desbonnets, E. ; Raskin, Jean-Pierre. Engineered substrates: The foundation to meet current and future RF requirements. VLSI TSA & VLSI-DAT (Hsinchu (Taiwan), du 27/04/2015 au 29/04/2015). In: Proceedings of the VLSI-TSA & VLSI-DAT, 2015, paper JS14, pp. 71-74..
Raskin, Jean-Pierre. FinFET versus UTBB SOI - a RF perspective. 45th European Solid-State Device Conference – ESSDERC 2015 (Graz (Austria), du 14/09/2015 au 18/09/2015). In: 45th European Solid-State Device Conference – ESSDERC 2015, 2015, 84-88. doi:10.1109/ESSDERC.2015.7324719..
Ghidelli, M. ; Gravier, S. ; Djemia, P. ; Coulombier, M. ; Vayrette, R. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Giant ductility of ZrNi thin freestanding metallic glass films. 9th European Solid Mechanics Conference – ESMC’15 (Madrid (Spain), du 06/07/2015 au 10/07/2015) (Soumis).
Huet, Benjamin ; Raskin, Jean-Pierre. Graphene growth, transfer and devices fabrication. NATO Advanced Research Workshop: "Functional Nanomaterials and Devices for Electronics, Sensors, Energy Harvesting" (Lviv, Ukraine, du 13/04/2015 au 16/04/2015).
Tang, X. ; Reckinger, Nicolas ; Mager, Nathalie ; Vanhorenbeke, Béatrice ; Hermans, Sophie ; Delamare, Romain ; Colomer, Jean-François ; Raskin, Jean-Pierre. Graphene sensor for formaldehyde detection. 2015 International Graphene Innovation Conference (Qingdao (China), du 28/10/2015 au 30/10/2015).
Huet, B. ; Raskin, Jean-Pierre. Growth of spatially-arranged millimeter-size sigle-crystal graphene on thin Cu film. 2015 Materials Research Society (MRS) Fall Meeting (Boston, MA (USA), du 29/11/2015 au 04/12/2015).
Raskin, Jean-Pierre ; Desbonnets, E.. High Resistivity SOI wafer for mainstream RF System-on-Chip. 15th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’15 (San Diego, CA (USA), du 26/01/2015 au 28/01/2015). In: 15th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’15, 2015, 33-36.
Raskin, Jean-Pierre. High resistivity SOI substrates boost CMOS RF performance. General Assembly and Meet the Fellows (Antwerpen (Belgium), 04/02/2015).
Rack, Martin ; Raskin, Jean-Pierre. Modeling the effect of charges in the back side passivation layer on through silicon via (TSV) capacitance after wafer thinning. 2015 IEEE MTT-S International Microwave Symposium (Phoenix, Arizona, USA, du 17/05/2015 au 22/05/2015). doi:10.1109/MWSYM.2015.7167022.
Idrissi, Hosni ; Colla, Marie-Stéphane ; Amin-Ahmadi, B. ; Delmelle, Renaud ; Malet, L. ; Proost, Joris ; Godet, S. ; Raskin, Jean-Pierre ; Schryvers, D. ; Pardoen, Thomas. Nanoscale plasticity mechanisms in as-deposited and hydride nanocrystalline Pd thin films revealed by advanced in-situ TEM nanomechanical testing. ESMC2015, 9th European Solid Mechanics Conference (Madrid, Spain, du 06/07/2015 au 10/07/2015). In: Book of abstracts, 2015.
Sun, Xiao ; Rack, Martin ; Raskin, Jean-Pierre. Noise coupling between TSVs and active devices: Planar nMOSFETs vs. nFinFETs. 2015 IEEE 65th Electronic Components and Technology Conference (San Diego, CA, USA, du 26/05/2015 au 29/05/2015). doi:10.1109/ECTC.2015.7159602.
Hammad, Mohamed ; Raskin, Jean-Pierre ; Pardoen, Thomas. On-Chip Graphene Tensile Testing. MRS Fall Meeting & Exhibit (Boston, USA, du 29/11/2015 au 04/12/2015). In: Proceedings fo the 2015 MRS Fall Meeting & Exhibit, 2015.
Lapouge, Pierre ; Vayrette, Renaud ; Onimus, F. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Bréchet, Yves. On-Chip Irradiation Creep Testing of Copper Films. 2015 MRS Fall Meeting & Exhibit (Boston, USA, du 29/11/2015 au 04/12/2015). In: Proceedings of the 2015 MRS Fall Meeting & Exhibit, 2015.
Raskin, Jean-Pierre. SOI Technologies from Microelectronics to Microsystems - Meeting the More than Moore Roadmap Requirements. 9th Workshop on Frontiers in Electronics (WOFE-2015), Joint with Workshop on Multifunctional Nanomaterials (San)Juan, Puerto Rico (USA), du 15/12/2015 au 18/12/2015).
Raskin, Jean-Pierre. SOI – a key substrate for RF CMOS. 5th Korean International Summer School on Nanoelectronics – nano-KISS, More Moore or More than Moore Nano-Devices? (ETRI-DAEJEON (Korea), du 14/07/2015 au 17/07/2015).
Makovejev, Sergej ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Self-Heating in 28 nm Bulk and FDSOI. 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS 2015) (Bologne (Italie), du 26/01/2015 au 28/01/2015). In: Proceedings of EUROSOI-ULIS 2015, IEEE, 2015. 978-1-4799-6910-4, 41-44. doi:10.1109/ULIS.2015.7063768. doi:10.1109/ULIS.2015.7063768.
Makovejev, S. ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Self-heating in 28 nm Bulk and FD SOI. 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon - EUROSOI-ULIS 2015 (Bologna (Italy), du 26/01/2015 au 28/01/2015). In: 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon - EUROSOI-ULIS 2015, 2015, 41-44.
Idrissi, Hosni ; Amin-Ahmadi, B. ; Colla, Marie-Stéphane ; Bollinger, C. ; Boioli, F. ; Raskin, Jean-Pierre ; Cordier, P. ; Pardoen, Thomas ; Schryvers, Dominique. Small-scale plasticity mechanisms in crystalline and amorphous materials revealed by in-situ TEM nanomechanical testing. European-MRS Fall Meeting (Warsaw, Poland, du 15/09/2015 au 18/09/2015).
Lemoine, Guerric ; Colla, Marie-Stéphane ; Amin-Ahmadi, B. ; Idrissi, Hosni ; Schryvers, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Delannay, Laurent. Study of creep/relaxation in nanocrystalline FCC thin films through internal-stress-actuated microtensile testing method. 13th International Conference on Creep and Fracture of Engineering Materials and Structures - CREEP2015 (Toulouse, du 31/05/2015 au 04/06/2015).
Lapouge, Pierre ; Onimus, Fabien ; Bréchet, Yves ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Vayrette, Renaud. Study of the irradiation creep based on nanomechanical lab-on-chip testing. ICM12 - 12th International Conference on the Mechanical Behavior of Materials (Karlsruhe, Allemagne, du 10/05/2015 au 14/05/2015). In: Book of abstracts, 2015.
Abadi, A. R. N. ; Rack, Martin ; Raskin, Jean-Pierre. Through silicon via to FinFET noise coupling in 3-D integrated circuits. 2015 International conference on IC Design & Technology (Leuven, Belgium, du 01/06/2015 au 03/06/2015). doi:10.1109/ICICDT.2015.7165916.
Haddad, P.-A. ; Raskin, Jean-Pierre. Towards suspended geometric diodes for TeraHertz rectenna solar cells using wafer-scale CVD graphene on Cu thin films. The 19th International Conference on Electron Dynamics in Semiconductors, Optoelectronic - Edison'19 (Universidad de Salamanca (Spain), du 29/06/2015 au 02/07/2015).
Martinez-Lopez, A. ; Tinoco, J. C. ; Martynyuk, A. ; Raskin, Jean-Pierre. Triple-Gate FinFETs for very high frequency applications. 4th International Symposium on Energy Challenges and Mechanics - working on small scales (ECM4) (Aberdeen, Scotland (United Kingdom), du 11/08/2015 au 13/08/2015). In: 4th International Symposium on Energy Challenges and Mechanics - working on small scales (ECM4), 2015, session 09E, paper # 6-266.
Ghidelli, Matteo ; Gravier, S. ; Blandin, J.-J. ; Djemia, P. ; Coulombier, Michaël ; Vayrette, Renaud ; Raskin, Jean-Pierre ; Pardoen, Thomas. Viscoplastic and fracture behavior of ZrNi freestanding metallic glass films . ESMC2015, 9th European Solid Mechanics Conference (Madrid, Spain, du 06/07/2015 au 10/07/2015). In: Book of abstracts, 2015.
Oueriemi, Ibtissem ; Raskin, Jean-Pierre ; Roda Neve, Cesar ; Choubani, Fethi ; Dupont, Védi ; Lardot, Véronique ; Huynen, Isabelle. Analysis of slow-wave propagation in coplanar transmission lines with inkjet printed multiwalled carbon nanotubes network. In: Microwave & Optical Technology Letters, Vol. 56, no. 5, p. 1118-1124 (2014). doi:10.1002/mop.28280.
Makovejev, Sergej ; Kazemi Esfeh, Babak ; Andrieu, François ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Assessment of Global Variability in UTBB MOSFETs in Subthreshold Regime. In: Journal of Low Power Electronics and Applications, Vol. 4, no. 3, p. 201-213 (06/07/2014). doi:10.3390/jlpea4030201.
Ghidelli, Matteo ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Mompiou, Frédéric. Compositional-induced structural change in ZrxNi100−x thin film metallic glasses. In: Journal of Alloys and Compounds, Vol. 615, no. supplément 1, p. S348-S351 (2014). doi:10.1016/j.jallcom.2013.12.054.
Smets, Q. ; Verhulst, A. S. ; Martens, K. ; Lin, D. H.-C. ; El Kazzi, S. ; Verreck, D. ; Simoen, E. ; Collaert, N. ; Thean, A. ; Raskin, Jean-Pierre ; Heyns, M. M.. Delayed onset of band-to-band tunneling in tunneling field effects transistors due to field induced quantum confinement: experimental verification. In: Applied Physics Letters, Vol. 105, p. 203507 (2015). doi:10.1063/1.4902117.
Thanh Trung, Pham ; Campos Delgado, Jessica ; Joucken, Frédéric ; Colomer, Jean-François ; Hackens, Benoît ; Raskin, Jean-Pierre ; Nascimento Santos, Cristiane ; Sporken, Robert. Direct growth of graphene on Si(111). In: Journal of Applied Physics, Vol. 115, no.223704, p. 223704 (10 June 2014). doi:10.1063/1.4882181.
Md Arshad, Mohd Khairuddin ; Kilchytska, Valeriya ; Emam, Mostafa ; Andrieu, François ; Flandre, Denis ; Raskin, Jean-Pierre. Effect of parasitic elements on UTBB FD SOI MOSFETs RF figures of merit. In: Solid-State Electronics, , no.97, p. 38-44 (17/05/2014). doi:10.1016/j.sse.2014.04.027.
Roda Neve, Cesar ; Ben Ali, Khaled ; Sarafis, P. ; Hourdakis, E. ; Nassiopoulou, A.G. ; Raskin, Jean-Pierre. Effect of temperature on advanced Si-based substrates performance for RF passive integration. In: Microelectronic Engineering, Vol. 120, p. 205-209 (2014). doi:10.1016/j.mee.2013.08.004.
Ghidelli, Matteo ; Volland, Antoine ; Blandin, Jean-Jacques ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Mompiou, Frédéric ; Djemia, Philippe ; Gravier, Sébastien. Exploring the mechanical size effects in Zr65Ni35 thin film metallic glasses. In: Journal of Alloys and Compounds, Vol. 615, no. supplément 1, p. S90-S92 (2014). doi:10.1016/j.jallcom.2013.11.154.
Favache, Audrey ; Libralesso, Laure ; Jacques, Pascal ; Raskin, Jean-Pierre ; Bailly, Christian ; Nysten, Bernard ; Pardoen, Thomas. Fracture toughness measurement of ultra-thin hard films deposited on a polymer. In: Thin Solid Films, Vol. 550, no. 1, p. 464-471 (janvier 2014). doi:10.1016/j.tsf.2013.10.052.
Navarro, E. ; Bréchet, Y. ; Barthelemy, A. ; Radu, I. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Influence of the bonding front propagation on the wafer stack curvature. In: Applied Physics Letters, Vol. 105, no.6, p. 061908 (2014). doi:10.1063/1.4893462.
Kazemi Esfeh, Babak ; Raskin, Jean-Pierre ; Van Moer, Wendy. Low-cost wideband double-layer microstrip coupled-line directional coupler with high directivity. In: Microwave & Optical Technology Letters, Vol. 56, no. 7, p. 1570-1575 (2014). doi:10.1002/mop.28391.
Rudenko, Tamara ; Md Arshad ; Raskin, Jean-Pierre ; Nazarov, Alexei ; Flandre, Denis ; Kilchytska, Valeriya. On the gm/ID-based approaches for threshold voltage extraction in advanced MOSFETs and their application to ultra-thin body SOI MOSFETs. In: Solid-State Electronics, , no.97, p. 52-58 (10/05/2014). doi:10.1016/j.sse.2014.04.029.
Vayrette, Renaud ; Coulombier, Michaël ; Pardoen, Thomas ; Raskin, Jean-Pierre. On-chip MEMS-based internal stress actuated structures for the mechanical testing of freestanding thin film materials. In: Advanced Materials Research, Vol. 996, p. 833-840. doi:10.4028/www.scientific.net/AMR.996.833.
André, Nicolas ; Rue, Bertrand ; Scheen, Gilles ; Flandre, Denis ; Francis, Laurent ; Raskin, Jean-Pierre. Out-of-plane MEMS-based mechanical airflow sensor co-integrated in SOI CMOS technology. In: Sensors and Actuators A: Physical, Vol. 206, p. 67-74 (16/11/2013). doi:10.1016/j.sna.2013.11.017.
Idrissi, Hosni ; Kobler, Aaron ; Amin-Ahmadi, Behnam ; Coulombier, Michaël ; Galceran, Montserrat ; Raskin, Jean-Pierre ; Godet, Stéphane ; Kübel, Christian ; Pardoen, Thomas ; Schryvers, Dominique. Plasticity mechanisms in ultrafine grained freestanding aluminum thin films revealed by in-situ transmission electron microscopy nanomechanical testing. In: Applied Physics Letters, Vol. 104, no.10, p. 101903 (2014). doi:10.1063/1.4868124.
Ali, Khaled Ben ; Neve, Cesar Roda ; Gharsallah, Ali ; Raskin, Jean-Pierre. RF performance of SOI CMOS technology on commercial 200-mm enhanced signal integrity high resistivity SOI substrate. In: IEEE Transactions on Electron Devices, Vol. 61, no. 3, p. 722-728 (2014). doi:10.1109/TED.2014.2302685.
Ureña, Ferran ; Raskin, Jean-Pierre ; Minamisawa, Renato A. ; Escobedo-Cousin, Enrique ; Olsen, Sarah H.. Roughness analysis in strained silicon-on-insulator wires and films. In: Journal of Applied Physics, Vol. 116, no. 12 (2014). doi:10.1063/1.4896301.
Tang, Xiaohui ; Francis, Laurent ; Dutu, Constantin Augustin ; Reckinger, Nicolas ; Raskin, Jean-Pierre. Self-formation of sub-10 nm nanogaps based on silicidation. In: Nanotechnology, Vol. 25, no.115201, p. 115201-1/9 (24/02/2014). doi:10.1088/0957-4484/25/11/115201.
Raskin, Jean-Pierre. Silicon-on-insulator MOSFETs models in analog/RF domain. In: International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol. 27, no. 5-6, p. 707-735 (2014). doi:10.1002/jnm.1950.
Ghidelli, Matteo ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Raskin, Jean-Pierre ; Lani, Frédéric ; Pardoen, Thomas. Size-dependent failure mechanisms in ZrNi thin metallic glass films. In: Scripta Materialia, Vol. 89, p. 9-12 (2014). doi:10.1016/j.scriptamat.2014.06.011.
Dutu, Constantin Augustin ; Vlad, Alexandru ; Reckinger, Nicolas ; Flandre, Denis ; Raskin, Jean-Pierre ; Melinte, Sorin. Tuning the surface conditioning of trapezoidally shaped silicon nanowires by (3-aminopropyl)triethoxysilane.. In: Applied Physics Letters, Vol. 104, no. 2, p. 023502 (4). doi:10.1063/1.4861598.
Kilchytska, Valeriya ; Makovejev, Sergej ; Md Arshad, Mohd Khairuddin ; Raskin, Jean-Pierre ; Flandre, Denis. Perspectives of UTBB FD SOI MOSFETs for Analog and RF Applications. In: Alexei Nazarov, Francis Balestra, Valeriya Kilchytska, Denis Flandre, Functional Nanomaterials and Devices for Electronics, Sensors and Energy Harvesting (Engineering materials), Springer International Publishing: Switzerland, 2014, p. 27-46. 978-3-319-08803-7. doi:10.1007/978-3-319-08804-4_2.
Nassiopoulou, Androula ; Sarafis, Panagiotis ; Raskin, Jean-Pierre ; Issa, Hanza ; Ferrari, Philippe. Substrate Technologies for Silicon-Integrated RF and mm-Wave Passive Devices. In: Francis Balestra, Beyond-CMOS Nanodevices 1, John Wiley & Sons, Ltd: Hoboken, NJ, USA, 2014, p. 373-411. 9781118984772. doi:10.1002/9781118984772.ch13.
Kazemi Esfeh, Babak ; Kilchytska, Valeriya ; Barral, V. ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre. 28 nm FD SOI Technology Platform RF FoM. 2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S 2014) (Millbrae (USA), du 06/10/2014 au 09/10/2014). In: Proceedings of the 2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, IEEE, 2014. doi:10.1109/S3S.2014.7028208. doi:10.1109/S3S.2014.7028208.
Kilchytska, Valeriya ; Makovejev, Sergej ; Raskin, Jean-Pierre ; Flandre, Denis. Advantages and Challenges of Advanced MOSFETs for Analog and RF Applications. 2014 CMOS Emerging Technologies Research Symposium (CMOSETR 2014) (Grenoble (France), du 06/07/2014 au 08/07/2014). In: Abstratcs - CMOS Emerging Technologies Research Symposium, 2014, p. 33.
Lemoine, Guerric ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Delannay, Laurent. Crystal plasticity based modelling of strain hardening and creep in nanocrystalline FCC thin films. 4th International Symposium on Computational Mechanics of Polycrystals (Max-Planck-Institut für Eisenforschung, Düsseldorf, Germany, du 14/07/2014 au 15/07/2014).
Lemoine, Guerric ; Colla, Marie-Stéphane ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Delannay, Laurent. Crystal plasticity based modelling of strain hardening and creep in nanocrystalline freestanding Pd films. 35th Risø International Symposium on Materials Science. New Frontiers of Nanometals (Roskilde, Denmark, du 01/09/2014 au 05/09/2014).
Lemoine, Guerric ; Colla, Marie-Stéphane ; Idrissi, Hosni ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Delannay, Laurent. Crystal plasticity based modelling of strain hardening and creep in nanocrystalline freestanding Pd films. 14th European Mechanics of Materials Conference - EMMC14 (Gothenburg,Sweden, du 27/08/2014 au 29/08/2014).
Haddad, Pierre-Antoine ; Gosset, Geoffroy ; Raskin, Jean-Pierre ; Flandre, Denis. Efficient ultra low power rectification at 13.56 MHz for a 10 µA load current. SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2014 IEEE (Millbrae, CA, USA, du 06/10/2014 au 09/10/2014). In: 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), IEEE, 2014. 978-1-4799-7438-2. doi:10.1109/S3S.2014.7028220.
Pardoen, Thomas ; Colla, Marie-Stéphane ; Coulombier, Michaël ; Wang, B. ; Idrissi, Hosni ; Schryvers, Dominique ; Raskin, Jean-Pierre. Failure in thin metallic films: on chip testing and size effects. 20th European Conference on Fracture (Trondheim, Norvège, du 30/06/2014 au 04/07/2014).
Makovejev, Sergej ; Barraud, S. ; Poiroux, T. ; Rozeau, O. ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Impact of Self-Heating on UTB MOSFET Parameters. 10th Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2014) (Tarragona (Spain), du 27/01/2014 au 29/01/2014). In: Proceedings of the 10th Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2014), 2014.
Tang, Xiaohui ; Reckinger, Nicolas ; Mager, Nathalie ; Vanhorenbeke, Béatrice ; Dutu, Constantin Augustin ; Hermans, Sophie ; Colomer, Jean-François ; Raskin, Jean-Pierre. Investigation of selective formaldehyde detection by graphene sensors. 1st International Conference Functional Integrated nano Systems (NANOFIS 2014) (Graz (Austria), du 03/12/2014 au 05/12/2014). In: , 2014.
Huet, Benjamin ; Raskin, Jean-Pierre. Low pressure CVD of graphene on Cu thin film and reliable proximity graphene transfer for electronic applications. Graphene & Co. Frontier Research in Graphene-based Systems (Institut d'étude scientifique de Cargèse, du 08/04/2015 au 18/04/2015).
Olbrechts, Benoit ; Rue, Bertrand. MOSFETs-based Pressure Sensors in Thin Film SOI Technology. 9th ESA ROUND TABLE ON MICRO AND NANO TECHNOLOGIES FOR SPACE APPLICATIONS (Swiss Tech Convention Center, Lausanne, Switzerland, du 10/06/2014 au 13/06/2014). In: , éd. Raskin, Jean-Pierre ; Flandre, Denis, collab. Al Kadi Jazairli, Mohamad, 2014.
Rack, Martin ; Raskin, Jean-Pierre ; Ben Ali, Khaled. Modeling of Anomalous CV curves in TSVs after wafer thinning. Program Technical Week 2014 (imec, Leuven, Belgium, du 20/10/2014 au 23/10/2014).
Hammad, Mohamed ; Raskin, Jean-Pierre ; Pardoen, Thomas. Nano-Indentation of Cu/Graphene Bilayer System. 14th European Mechanics of Materials Conference – EMMC14 (Gothenburg, Sweden, du 27/08/2014 au 29/08/2014). In: Book of abstracts, 2014.
Hammad, Mohamed ; Fivel, Marc ; Sacre, Charles-Henry ; Idrissi, Hosni ; D'Haese, Cécile ; Schryvers, Dominique ; Nysten, Bernard ; Raskin, Jean-Pierre ; Pardoen, Thomas. Nanoindentation of Cu/Graphene Bilayer System. 2014 Materials Research Society Fall Meeting & Exhibit (Boston, Massachussetts, USA, du 30/11/2014 au 05/12/2014). In: Book of abstracts, 2014.
Vayrette, Renaud ; Coulombier, Michaël ; Pardoen, Thomas ; Raskin, Jean-Pierre. On-chip MEMS-based internal stress actuated structures for the mechanical testing of freestanding thin film materials. 9th European Conference on Residual Stress (Troyes, France, du 07/07/2014 au 10/07/2014). In: Proceedings of the 9th European Conference on Residual Stress, 2014.
Olbrechts, Benoit ; Rue, Bertrand. PMOSFET-based Pressure Sensors in FD SOI. EUROSOI 2014, 10TH WORKSHOP OF THE THEMATIC NETWORK ON SILICON ON INSULATOR TECHNOLOGY, DEVICES AND CIRCUITS (Tarragona, Spain, du 27/01/2014 au 29/01/2014). In: , éd. Flandre, Denis ; Raskin, Jean-Pierre, 2014.
Pardoen, Thomas ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Lemoine, Guerric ; Vayrette, Renaud ; Ghidelli, Matteo ; Blandin, Jean-Jacques ; Gravier, Sébastien ; Delannay, Laurent ; Raskin, Jean-Pierre. Size dependent plastic localization in thin nanocrystalline or amorphous metallic films. MRS fall meeting (Boston, USA, du 01/12/2014 au 05/12/2014).
Ghidelli, Matteo ; Blandin, Jean-Jacques ; Pardoen, Thomas ; Lani, Frédéric ; Raskin, Jean-Pierre ; Mompiou, Frédéric ; Djemia, Philippe ; Gravier, Sébastien. Size-Dependent Mechanical Behavior of Sputter-Deposited Zr65Ni35 Thin Film Metallic Glasses. 21st International Symposium on Metastable, Amorphous and Nanostructured Materials (ISMANAM 2014) (Cancun (Mexique), du 29/06/2014 au 04/07/2014).
Tuyaerts, Romain ; Henry, Frédéric ; Raskin, Jean-Pierre ; Proost, Joris. Strain-engineered piezoelectric ZnO thin films. 8th International Workshop on Zinc Oxide and Related Materials, Symposium on Piezoelectric, Acousto-Optic, Gas, Chemical and Biosensor Device Applications (Ontario, Canada, du 07/09/2014 au 11/09/2014). In: Proceedings of the 8th International Workshop on Zinc Oxide and Related Materials, Symposium on Piezoelectric, Acousto-Optic, Gas, Chemical and Biosensor Device Applications, 2014, p.42.
Idrissi, Hosni ; Amin-Ahmadi, B. ; Colla, Marie-Stéphane ; Kobler, A. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Kübel, C. ; Pardoen, Thomas ; Schryvers, Dominique. Study of nanoscale deformation mechanisms in nanocrystalline materials using advanced micro/nanomechanical TEM testing. IUTAM Symposium on Micromechanics of Defects in Solids (Seville, Spain, du 09/06/2014 au 13/06/2014).
Kilchytska, Valeriya ; Makovejev, Sergej ; Barraud, S. ; Poiroux, T. ; Raskin, Jean-Pierre ; Flandre, Denis. Trigate NanoWire MOSFETs Analog Figures of Merit. 10th Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2014) (Tarragona (Spain), du 27/01/2014 au 29/01/2014). In: Proceedings of the 10th Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2014), 2014, p. 2.
Idrissi, Hosni ; Colla, Marie-Stéphane ; Ahmin-Ahmadi, B. ; Kobler, A. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Kübel, C. ; Godet, Stéphane ; Schryvers, Dominique ; Pardoen, Thomas. Unravelling plasticity mechanisms in nanocrystalline metallic thin films using advanced in-situ TEM micro/nanomechanical testing. 16th International Conference on Experimental Mechanics (Cambridge, UK, du 07/07/2014 au 11/07/2014). In: Book of abstracts, 2014.
Makovejev, Sergej ; Kazemi Esfeh, Babak ; Raskin, Jean-Pierre ; Kilchytska, Valeriya ; Flandre, Denis ; Barral, V. ; Planes, N. ; Haond, M.. Variability of UTBB MOSFET Analog Figures of Merit in Wide Frequency Range. 2014 4th European Solid State Device Research Conference (ESSDERC 2014) (Venise (Italie), du 22/09/2014 au 26/09/2014). In: Proceedings of the 2014 4th European Solid State Device research Conference (ESSDERC 2014), IEEE, 2014. 978-1-4799-4378-4, 222-225. doi:10.1109/ESSDERC.2014.6948800. doi:10.1109/ESSDERC.2014.6948800.
Huet, Benjamin ; Raskin, Jean-Pierre. Wafer-scale transfer of graphene grown on thin Cu film. Material research society (MRS) Fall (Boston, du 30/11/2014 au 05/12/2014).
Makovejev, Sergej ; Kazemi Esfeh, Babak ; Barral, V. ; Planes, N. ; Haond, M. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Wide Frequency Band Assessment of 28 nm FDSOI Technology Platform for Analogue and RF Applications. 15th International Conference on Ultimate Integration on Silicon (ULIS 2014) (Stockholm (Sweden), du 07/04/2014 au 09/04/2014). In: Proceedings of the 15th International Conference on ULTIMATE INTEGRATION ON SILICON (ULIS 2014), 2014, p. 2.
Crupi, G. ; Schreurs, D. ; Raskin, Jean-Pierre ; Caddemi, A.. A comprehensive review on microwave FinFET modeling for progressing beyond the state of art. In: Solid-State Electronics, Vol. 80, p. 81-95 (2013).
Tang, Xiaohui ; Raskin, Jean-Pierre ; Reckinger, Nicolas ; Dai, Bing ; Francis, Laurent. A new fabrication method for elevated source/drain junctionless transistors. In: Journal of Physics D: Applied Physics, Vol. 46, no.165101, p. 7 (27/03/2013). doi:10.1088/0022-3727/46/16/165101.
Sarafis, P. ; Hourdakis, E. ; Nassiopoulou, A.G. ; Roda Neve, Cesar ; Ben Ali, Khaled ; Raskin, Jean-Pierre. Advanced Si-based substrates for RF passive integration: Comparison between local porous Si layer technology and trap-rich high resistivity Si. In: Solid-State Electronics, Vol. 87, p. 27-33 (2013). doi:10.1016/j.sse.2013.04.026.
Campos Delgado, Jessica ; Botello Mendez, Andrés Rafael ; Algara-Siller, Gerardo ; Hackens, Benoît ; Pardoen, Thomas ; Kaiser, Ute ; Dresselhaus, Mildred S. ; Charlier, Jean-Christophe ; Raskin, Jean-Pierre. CVD synthesis of mono- and few-layer graphene using alcohols at low hydrogen concentration and atmospheric pressure. In: Chemical Physics Letters, Vol. 584, p. 142-146 (2013). doi:10.1016/j.cplett.2013.08.031.
Tang, Xiaohui ; Francis, Laurent ; Gong, Longfei ; Wang, Fengzhen ; Raskin, Jean-Pierre ; Flandre, Denis ; Zhang, Shuai ; You, Da ; Wu, Liang ; Dai, Bing. Characterization of high-efficiency multi-crystalline silicon in industrial production. In: Solar Energy Materials & Solar Cells, Vol. 117, p. 225-230 (10 June 2013). doi:10.1016/j.solmat.20313.06.013.
Passi, Vikram ; Dubois, Emmanuel ; Lecestre, Aurelie ; Linde, Alicia Sanchez ; Du Bois, Bert ; Raskin, Jean-Pierre. Design guidelines for releasing silicon nanowire arrays by liquid and vapor phase hydrofluoric acid. In: Microelectronic Engineering, Vol. 103, p. 57-65 (2013). doi:10.1016/j.mee.2012.09.002.
Pham Thanh Trung ; Joucken, Frédéric ; Campos Delgado, Jessica ; Raskin, Jean-Pierre ; Hackens, Benoît. Direct growth of graphitic carbon on Si(111). In: Applied Physics Letters, Vol. 102, p. 013118 1-5 (2013). doi:10.1063/1.4773989.
Navarro, E. ; Bréchet, Y. ; Moreau, R. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Barthelemy, A. ; Radu, I.. Direct silicon bonding dynamics: A coupled fluid/structure analysis. In: Applied Physics Letters, Vol. 103, no.3, p. 034104 (2013). doi:10.1063/1.4813312.
Houri, Samer ; Bhaskar, Umesh Kumar ; Gallacher, B. ; Francis, Laurent ; Pardoen, Thomas ; Raskin, Jean-Pierre. Dynamic analysis of multi-beam MEMS structures for the extraction of the stress-strain response of thin films. In: Experimental Mechanics : an international journal of the Society for Experimental Mechanics, Vol. 53, no. 3, p. 441-453 (March 2013). doi:10.1007/s11340-012-9654-9.
Amin-Ahmadi, B. ; Idrissi, Hosni ; Galceran, M ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Godet, Stéphane ; Schryvers, D. Effect of deposition rate on the microstructure of electron beam evaporated nanocrystalline Pd thin films. In: Thin Solid Films, Vol. 539, p. 145-150. doi:10.1016/j.tsf.2013.05.083.
Tinoco, Julio ; Rodriguez, Silvestre Salas ; Martinez-Lopez, Andrea G. ; Alvarado, Joaquin ; Raskin, Jean-Pierre. Impact of extrinsic capacitances on FinFETs RF performance. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 16, no.2, p. 833-840 (February 2013). doi:10.1109/TMTT.2012.2231697.
Mompiou, F. ; Legros, M. ; Boé, A. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Inter- and intragranular plasticity mechanisms in ultrafine-grained Al thin films: An in situ TEM study. In: Acta Materialia, Vol. 61, no.1, p. 205-216 (2013). doi:10.1016/j.actamat.2012.09.051.
Houri, S. ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Raskin, Jean-Pierre. Note: Size effects on the tensile response of top-down fabricated Si nanobeams. In: Review of Scientific Instruments, Vol. 84, no.3, p. 036102 (2013). doi:10.1063/1.4794438.
Rodriguez, Silvestre Salas ; Tinoco, Julio C. ; Martinez-Lopez, Andrea G. ; Alvarado, Joaquin ; Raskin, Jean-Pierre. Parasitic gate capacitance model for triple-gate finfets. In: IEEE Transactions on Electron Devices, Vol. 60, no. 11, p. 3710-3717 (2013). doi:10.1109/TED.2013.2282629.
Emam, Mostafa ; Raskin, Jean-Pierre. Partially Depleted SOI Versus Deep N-Well Protected Bulk-Si MOSFETs: A High-Temperature RF Study for Low-Voltage Low-Power Applications. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 61, no.4, p. 1496-1504 (Avril 2013). doi:10.1109/TMTT.2013.2250513.
Ben Ali, Khaled ; Neve, Cesar Roda ; Gharsallah, Ali ; Raskin, Jean-Pierre. Photo-induced coplanar waveguide rf switch and optical crosstalk on high-resistivity silicon trap-rich passivated substrate. In: IEEE Transactions on Electron Devices, Vol. 60, no. 10, p. 3478-3484 (2013). doi:10.1109/TED.2013.2279686.
Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Passi, Vikram ; Raskin, Jean-Pierre. Piezoresistance of nano-scale silicon up to 2GPa in tension. In: Applied Physics Letters, Vol. 102, no.3, p. 031911 (2013). doi:10.1063/1.4788919.
Walewyns, Thomas ; Reckinger, Nicolas ; Ryelandt, Sophie ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Francis, Laurent. Polyimide as a versatile enabling material for microsystems fabrication: surface micromachining and electrodeposited nanowires integration. In: Journal of Micromechanics and Microengineering : structures, devices & systems, Vol. 23, no. 9, p. 12 (09/08/2013). doi:10.1088/0960-1317/23/9/095021.
Shim, T. ; Raskin, Jean-Pierre ; Neve, César Roda ; Rais-Zadeh, Mina. RF MEMS passives on high-resistivity silicon substrates. In: IEEE Microwave and Wireless Components Letters, Vol. 23, no. 12, p. 632-634 (2013). doi:10.1109/LMWC.2013.2283857.
Urena, Ferran ; Olsen, Sarah H. ; Raskin, Jean-Pierre. Raman measurements of uniaxial strain in silicon nanostructures. In: Journal of Applied Physics, Vol. 114, no.14 (October 2013). doi:10.1063/1.4824291.
Campos Delgado, Jessica ; Cançado, Luiz G. ; Achete, Carlos A. ; Jorio, Ado ; Raskin, Jean-Pierre. Raman scattering study of the phonon dispersion in twisted bilayer graphene. In: Nano Research, Vol. 6, no. 4, p. 269-274 (2013). doi:10.1007/s12274-013-0304-z.
Raskin, Jean-Pierre ; Desbonnets, E.. SOITEC and UCL boost the RF performance of SOI substrates. In: Advanced Substrate News, (2013).
Guisbiers, Grégory ; Colla, Marie-Stéphane ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Study of creep/relaxation mechanisms in thin freestanding nanocrystalline palladium films through the lab-on-chip technology. In: Journal of Applied Physics, Vol. 113, no.024513, p. 024513-1 - 024513-6 (2013). doi:10.1063/1.4775398.
Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Passi, Vikram ; Raskin, Jean-Pierre. Surface states and conductivity of silicon nano-wires. In: Journal of Applied Physics, Vol. 113, no.13, p. 134502 (2013). doi:10.1063/1.4798611.
Makovejev, Sergej ; Olsen, S.H. ; Kilchytska, Valeriya ; Raskin, Jean-Pierre. Time and Frequency Domain Characterization of Transistor Self-Heating. In: IEEE Transactions on Electron Devices, Vol. 60, no.6, p. 1844-1851 (06/2013). doi:10.1109/TED.2013.2259174.
Md Arshad, Mohd Khairuddin ; Makovejev, Sergej ; Olsen, Sarah H. ; Andrieu, François ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. UTBB SOI MOSFETs analog figures of merit: Effects of ground plane and asymmetic double-gate regime. In: Solid-State Electronics, Vol. 90, p. 56-64 (2013).
Md Arshad ; Makovejev, Sergej ; Olsen, S. ; Andrieu, F. ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. UTBB SOI MOSFETs analog figures of merit: Effects of ground plane and asymmetric double-gate regime. In: Solid-State Electronics, (2013). doi:10.1016/j.sse.2013.02.051.
Oueriemi, Ibtissem ; Raskin, Jean-Pierre ; Choubani, F. ; Huynen, Isabelle. Wideband non-linear characteristics of random multi-walled carbon nanotube networks. In: Microwave & Optical Technology Letters, Vol. 55, no. 11, p. 2648–2652 (November 2013). doi:10.1002/mop.27842.
Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Flandre, Denis. Specific Features of MuGFETs at High Temperatures over a Wide Frequency Range. In: Nadine Collaert, CMOS Nanoelectronics: Innovative Devices, Architectures, and Applications, Pan Stanford Publishing Pte Ltd, 2013, 233-259. 978-981-4364-02-7. doi:10.1201/b13063-9.
Gkotsis, Petros ; Pardoen, Thomas ; Raskin, Jean-Pierre. A method to determine the elastic properties and the residual stress in the functional films of microcantilever gas sensors. Proceedings of 2013 Nanomechanical Sensing Workshop (Stanford CA, du 01/05/2013 au 03/05/2013). In: , collab. Zeb, Gul, 2013.
Ghidelli, Matteo ; Gravier, Sébastien ; Blandin, Jean-Jacques ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Mompiou, Frédéric ; Djemia, Philippe. Compositional-induced structural change of ZrNi thin film metallic glasses deposited by magnetron sputtering. 20th International Symposium on Metastable, Amorphous and Nanostructured Materials (Torino (Italy), du 30/06/2013 au 05/07/2013).
Gkotsis, Petros ; Raskin, Jean-Pierre. DAMPING MECHANISMS IN LORENTZ FORCE BASED MEMS MAGNETIC FIELD SENSORS. GRDi Mecano (Toulouse France, du 03/06/2013 au 04/06/2013). In: , 2013.
Bui, Thanh Nhan ; Raskin, Jean-Pierre ; Malet, L. ; Godet, S. ; Rodrigues Martins, Frederico ; Faniel, Sébastien ; Gonze, Xavier ; Cabosart, Damien ; Hackens, Benoît. Dependence of the electronic transport on the microstructure in annealed Bi thin films. APS March Meeting (Baltimore, US).
Ben Ali, K. ; Roda Neve, C. ; Gharsallah, A. ; Raskin, Jean-Pierre. Digital substrate noise coupling into trap-rich HR-SOI substrate. Ninth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’13 (Paris (France), du 21/01/2013 au 23/01/2013). In: Proceedings of the Ninth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’13, 2013, paper # 16.
Navarro, Etienne ; Bréchet, Yves ; Moreau, R. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Barthelemy, A. ; Radu, I.. Direct silicon bonding dynamics: a coupled fluid/structure analysis. WaferBond'13 (Stockhom, Suède, du 05/12/2013 au 06/12/2013). In: Proceedings of WaferBond'13, 2013.
Navarro, E. ; Bréchet, Yves ; Moreau , R. ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Barthelemy, A. ; Radu, I.. Direct wafer bonding front propagation dynamics. WaferBond’13 (Stockhom, Suède, du 04/12/2013 au 12/12/2013). In: Book of abstracts, 2013.
Md Arshad, M.K. ; Kilchytska, Valeriya ; Emam, Mostafa ; Andrieu, François ; Flandre, Denis ; Raskin, Jean-Pierre. Effect of parasitic elements on UTBB FD SOI MOSFET RF figures of merit. Ninth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2013) (Paris (France), du 21/01/2013 au 23/01/2013). In: Proceedings of the Ninth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2012), IEEE, 2013, p. 2.
Roda Neve, C. ; Ben Ali, K. ; Sarafis, P. ; Hourdakis, E. ; Nassiopoulou, A. G. ; Raskin, Jean-Pierre. Effect of temperature on advanced Si-based substrates performance for RF passive integration. Materials for Advanced Metallization – MAM 2013 (Leuven (Belgium), du 10/03/2013 au 13/03/2013). In: Proceedings of the Materials for Advanced Metallization – MAM 2013, 2013, 151-152.
Idrissi, Hosni ; Amin-Ahmadi, B. ; Kobler, A. ; Coulombier, Michaël ; Kübel, C. ; Raskin, Jean-Pierre ; Schryvers, D. ; Pardoen, Thomas. Etude des mécanismes élémentaires de plasticité et de fracture dans des films minces d'Aluminium nanocristallin par des tests nanomécaniques in-situ en ACOM-TEM. Colloque Plasticité 2013 (Paris, France, du 17/04/2013 au 19/04/2013). In: Book of abstracts, 2013.
Ghidelli, Matteo ; Volland, Antoine ; Blandin, Jean-Jacques ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Mompiou, Frédéric ; Djemia, Philippe ; Gravier, Sébastien. Exploring size effect phenomena in Zr65Ni35 thin film metallic glasses. 20th International Symposium on Metastable, Amorphous and Nanostructured Materials (Torino (Italy), du 30/06/2013 au 05/07/2013).
Salas, S. ; Tinoco, J. C. ; Martinez-Lopez, A. G. ; Alvarado, J. ; Raskin, Jean-Pierre. Fringing gate capacitance model for Triple-Gate FinFET. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’13 (Austin, Texas (USA), du 20/01/2013 au 22/01/2013). In: Proceedings of the 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’13, 2013, TU1C-4, pp. 90-92.
Makovejev, Sergej ; Kazemi Esfeh, Babak ; Andrieu, François ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Global Variability of UTBB MOSFET in Subthreshold. IEEE S3S Conference 2013 (Monterey, CA (USA), du 07/10/2013 au 10/10/2013). In: Proceedings of the IEEE S3S Conference 2013, 2013, 2. doi:10.1109/S3S.2013.6716585.
Francis, Laurent ; Gkotsis, Petros ; Kilchytska, Valeriya ; Tang, Xiaohui ; Druart, Sylvain ; Raskin, Jean-Pierre ; Flandre, Denis. Impact of radiations on the electromechanical properties of materials and on the piezoresistive and capacitive transduction mechanisms used in microsystems. Reliability, Packaging, Testing, and Characterization of MOEMS/MEMS and Nanodevices XII (SPIE 2013) (San Francisco (USA), du 09/03/2013 au 09/03/2013). In: Proceedings of SPIE 8614, 2013. doi:10.1117/12.2008531.
Idrissi, Hosni ; Kobler , A. ; Amin-Ahmadi, B. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Kubel, C. ; Pardoen, Thomas ; Schryvers, D.. In-situ ACOM-TEM nanomechanical testing of <111> textured ultrafine grained Al thin films: plasticity and fracture mechanisms. TMS 2013 (San Antonio, California, USA, du 03/03/2013 au 07/03/2013). In: Book of abstracts, 2013.
Colla, Marie-Stéphane ; Amin-Ahmadi, B. ; Pardoen, Thomas ; Idrissi, Hosni ; Malet, L. ; Schryvers, D. ; Godet, S. ; Raskin, Jean-Pierre. In-situ characterization of the time dependent mechanical properties of nanocrystalline Pd thin films. MECANO (Dusseldorf, Germany, du 18/07/2013 au 19/07/2013).
Vayrette, Renaud ; Coulombier, Michaël ; Mompiou, F. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Lab-on-chip for in- and ex-situ characterization of the mechanical response of nano-objects. GDRI CNRS Mecano General Meeting on the Mechanics of Nano-objects (Duesseldorf, Deutschland, du 18/07/2013 au 19/07/2013).
Ghidelli, Matteo ; Gravier, S. ; Blandin, J.-J. ; Raskin, Jean-Pierre ; Pardoen, Thomas. New Generation of Micro- and Nano- Systems using Metallic Glass Thin Films. IDS-FunMat 3rd Training School (Annecy, France, du 17/03/2016 au 22/03/2016).
Rudenko, Tamara ; Md Arshad, M.K. ; Raskin, Jean-Pierre ; Nazarov, Alexei ; Flandre, Denis ; Kilchytska, Valeriya. On the gm/ID-based Threshold Voltage Extractions in Advanced SOI MOSFETs. Ninth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2013) (Paris (France), du 21/01/2013 au 23/01/2013). In: Proceedings of the Ninth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2013), IEEE, 2013, p. 2.
Raskin, Jean-Pierre ; Bhaskar, Umesh Kumar ; Pardoen, Thomas. On-Chip tensile testing of the mechanical and electro-mechanical properties of nano-scale silicon free-standing beams up to fracture. PiezoNEMS 2013 - PHELMA-Polygone (Grenoble, France, 11/11/2013). In: Book of abstracts, 2013.
Vayrette, Renaud ; Galceran, Montserrat ; Godet, Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas. On-chip testing and characterization of polysilicon thin films fracture mechanisms. MRS Fall Meeting and Exhibit (Boston, USA, du 01/12/2013 au 06/02/2014).
Kilchytska, Valeriya ; Makovejev, Sergej ; Md Arshad, Mohd Khairuddin ; Raskin, Jean-Pierre ; Flandre, Denis ; Andrieu, François ; Poiroux, T. ; Faynot, O.. Perspectives of UTBB FD SOI MOSFETs for analog and RF applications. 2nd Ukrainian-French Seminar : Semiconductor on Insulator Materials, Devices and Circuits: Physics, Technology and Diagnostics, and 7th International Workshop : Functional Nanomaterials and Devices (Kyiv (Ukraine), du 08/04/2013 au 11/04/2013). In: Proceedings of the 2nd Ukrainian-French Seminar "Semiconductor on Insulator Materials, Devices and Circuits: Physics, Technology and Diagnostics, and 7th International Workshop "Functional Nanomaterials and Devices", 2013.
Nassiopoulou, A. G. ; Hourdakis, E. ; Sarafis, P. ; Ferrari, Ph. ; Issa, H. ; Raskin, Jean-Pierre ; Roda Neve, C. ; Ben-Ali, K.. Porous Si as a substrate material for RF passive integration. The 14th International Conference on Ultimate Integration on Silicon – ULIS’13 (University of Warwick (UK), du 19/03/2013 au 21/03/2013). In: Proceedings ot the 14th International Conference on Ultimate Integration on Silicon – ULIS’13, 2013, 89-93.
Roda Neve, Cesar ; Ben Ali, Khaled ; Malaquin, C. ; Allibert, F. ; Desbonnets, E. ; Bertrand, I. ; Van Den Daele, W. ; Raskin, Jean-Pierre. RF and linear performance of commercial 200 mm trap-rich HRSOI wafers for SoC applications. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2013 (Austin, Texas, USA, du 21/01/2013 au 23/01/2013). In: SiRF 2013 Techn. Digest, 2013, pp. 15-17.
Huet, Benjamin ; Raskin, Jean-Pierre. Role of confinement in chemical vapor deposition of graphene on copper thin films. Material Research Society (Boston, du 01/12/2013 au 06/12/2013).
Alvarado, J. ; Tinoco, J. C. ; Salas, S. ; Martinez-Lopez, A. G. ; Soto-Cruz, B. S. ; Cerdeira, A. ; Raskin, Jean-Pierre. SOI FinFET compact model for RF circuits simulation. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’13 (Austin, Texas (USA), du 20/01/2013 au 22/01/2013). In: Proceedings o the 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’13, 2013, TU1C-4, pp. 90-92.
Ghidelli, Matteo ; Volland, Antoine ; Blandin, Jean-Jacques ; Pardoen, Thomas ; Lani, Frédéric ; Raskin, Jean-Pierre ; Mompiou, Frédéric ; Djemia, Philippe ; Gravier, Sébastien. Size Dependent Mechanics of Thin ZrNi Metallic Glass Films. Nano- And Micromechanical Testing In Materials Research And Development IV (Olhao Portugal, du 06/10/2013 au 11/10/2013).
Pardoen, Thomas ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Idrissi, Hosni ; Wang, B. ; Schryvers, Dominique ; Mompiou, Frédéric ; Legros, M.. Size and rate dependent ductility of thin metallic films. Plasticity'13 - Symosium in honor of Prof. P. Van Houtte (Nassau, Bahamas, du 03/01/2013 au 08/01/2013).
Pardoen, Thomas ; Coulombier, Michaël ; Idrissi, Hosni ; Schryvers, D. ; Mompiou, F. ; Legros, M. ; Raskin, Jean-Pierre. Size and rate dependent plastic localization in thin metallic films. 142nd Annual Meeting & Exhibition, TMS 2013 - Symposium: Fatigue and Fracture of Thin Films and Nanomaterials (San Antonio, Texas (USA), du 03/03/2013 au 07/03/2013).
Idrissi, Hosni ; Amin-Ahmadi, B. ; Kobler, A. ; Coulombier, Michaël ; Kübel, C. ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, Dominique. Study of small-scale plasticity and fracture mechanisms in ultrafine-grained Al wires using in situ BF-TEM and in situ ACOM-TEM nanomechanical testing. Nanobrücken (Dresden, Germany, du 20/03/2013 au 22/03/2018).
Tang, Xiaohui ; Francis, Laurent ; Dutu, Constantin Augustin ; Reckinger, Nicolas ; Raskin, Jean-Pierre. Sub-10-nm Nanogap Fabrication by Silicidation. 13th IEEE International Conference on Nanotechnology 2013 (Beijing (China), du 05/08/2013 au 08/08/2013). In: Proceedings of the 13th IEEE International Conference on Nanotechnology 2013, IEEE, 2013, p. 570-573. doi:10.1109/NANO.2013.6720811.
Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Passi, Vikram ; Houri, S. ; Raskin, Jean-Pierre. Surface effects on the electrical, mechanical and electro-mechanical properties of silicon nano wires. 2013 MRS Spring Meeting & Exhibit (San Francisco, California, USA, du 01/04/2013 au 05/04/2013). In: Book of abstracts, 2013.
Idrissi, Hosni ; Amin-Ahmadi, B. ; Galceran, M. ; Delmelle, Renaud ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Godet, Stéphane ; Proost, Joris ; Pardoen, Thomas ; Schryvers, D.. TEM Observation of FCC 9R Phase Transformation in Nanocrystalline Pd Thin Films during Hydriding/Dehydriding Cycles. 142nd Annual Meeting of the Metallurgical Society (TMS), Symposium on Phase Transformation and Microstructural Evolution: Non-conventional Phase Transformation Paths (San Antonio, USA, du 03/03/2013 au 07/03/2013). In: Proceedings of the 142nd Annual Meeting of the Metallurgical Society (TMS), 2013, p.226.
Santos, C. N. ; Hackens, Benoît ; Joucken, Frédéric ; Sporken, Robert ; Campos-Delgado, J. ; Raskin, Jean-Pierre ; de Sousa Meneses, D. ; Echegut, P.. THz and mid-IR Reflectance of epitaxial graphene. American Physical Society March Meeting 2013 – APS 2013 (Baltimore, Maryland (USA), du 18/03/2013 au 22/03/2013).
Makovejev, Sergej ; Kazemi Esfeh, Babak ; Andrieu, François ; Raskin, Jean-Pierre ; Flandre, Denis ; Kilchytska, Valeriya. Threshold Voltage Extraction Techniques and Temperature Effect in Context of Global Variability in UTBB MOSFETs. 43rd European Solid-State Device Research Conference (ESSDERC 2013) (Bucharest (Roumania), du 16/09/2013 au 20/09/2013). In: Proceedings of the 43rd European Solid-State Device Research Conference (ESSDERC 2013), 2013, 4. doi:10.1109/ESSDERC.2013.6818852.
Martinez-Lopez, A. G. ; Padron-Hernandez, W. Y. ; Salas, S. ; Alvarado, J. ; Tinoco, J. C. ; Raskin, Jean-Pierre ; Cerdeira, A.. Triple-Gate FinFETs for Very High Frequency Applications. Workshop on Advanced Materials and Devices - WAMD’13 (University of Havana (Cuba), du 13/03/2013 au 15/03/2013). In: Proceedings of the Workshop on Advanced Materials and Devices - WAMD’13, 2013.
Wang, Binjie ; Idrissi, Hosni ; Galceran, M. ; Colla, Marie-Stéphane ; Turner, S. ; Hui, S. ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Godet, Stéphane ; Schryvers, D.. Advanced TEM investigation of the plasticity mechanisms in nanocrystalline freestanding palladium films with nanoscale twins. In: International Journal of Plasticity, Vol. 37, p. 140-156 (2012). doi:10.1016/j.ijplas.2012.04.003.
Passi, Vikram ; Sodervall, Ulf ; Nilsson, Bengt ; Petersson, Goran ; Hagberg, Mats ; Krezminski, Christophe ; Dubois, Emmanuel ; Du Bois, Bert ; Raskin, Jean-Pierre. Anisotropic Vapor HF etching of silicon dioxide for Si microstructure release. In: Microelectronic Engineering, Vol. 95, p. 83-89 (2012). doi:10.1016/j.mee.2012.01.005.
Reckinger, Nicolas ; Dutu, Constantin Augustin ; Tang, Xiaohui ; Dubois, E. ; Yarekha, D.A. ; Godey, S. ; Nougaret, Laurianne ; Lacszcz, J. ; Ratajczak, J. ; Raskin, Jean-Pierre. Comparative study of erbium disilicide thin films grown in situ under ultrahigh vacuum or ex situ with a capping layer. In: Thin Solid Films, Vol. 520, no.13, pp. 4501-4505 (April). doi:10.1016/j.tsf.2012.02.076.
André, Nicolas ; Druart, Sylvain ; Dupuis, Pascal ; Rue, Bertrand ; Gérard, Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Francis, Laurent. Dew-based wireless mini module for respiratory rate monitoring. In: IEEE Sensors Journal, Vol. 12, no.3, p. 699-706 (03/2012). doi:10.1109/JSEN.2011.2161668.
Gkotsis, Petros ; Kilchytska, Valeriya ; Fragkiadakis, Charalampos ; Kirby, Paul B. ; Raskin, Jean-Pierre ; Francis, Laurent. Effects of Fast Neutrons on the Electromechanical Properties of Materials Used in Microsystems. In: IEEE Journal of Microelectromechanical Systems, Vol. 21, no.6, p. 1471-1483 (Décembre 2012). doi:10.1109/JMEMS.2012.2211578.
Arshad, M.K.M. ; Raskin, Jean-Pierre ; Kilchytska, Valeriya ; Andrieu, Francois ; Scheiblin, P. ; Faynot, O. ; Flandre, Denis. Extended MASTAR modeling of DIBL in UTB and UTBB SOI MOSFETs. In: IEEE Transactions on Electron Devices, Vol. 59, no. 1 (article n°6085605), p. 247-251 (01/2012). doi:10.1109/TED.2011.2172993.
Colla, Marie-Stéphane ; Wang, Binjie ; Idrissi, Hosni ; Schryvers, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas. High strength-ductility of thin nanocrystalline palladium films with nanoscale twins : On-chip testing and grain aggregate model. In: Acta Materialia, Vol. 60, no. 4, p. 1795-1806 (February 2012). doi:10.1016/j.actamat.2011.11.054.
Passi, Vikram ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Sodervall, Ulf ; Nilsson, Bengt ; Petersson, Goran ; Hagberg, Mats ; Raskin, Jean-Pierre. High-Throughput On-Chip Large Deformation of Silicon Nanoribbons and Nanowires. In: IEEE Journal of Microelectromechanical Systems, Vol. 21, no.4, p. 822-829 (August 2012). doi:10.1109/JMEMS.2012.2190711.
Makovejev, Sergej ; Raskin, Jean-Pierre ; Md Arshad, Mohd Khairuddin ; Flandre, Denis ; Olsen, S. ; Andrieu, F. ; Kilchytska, Valeriya. Impact of self-heating and substrate effects on small-signal output conductance in UTBB SOI MOSFETs. In: Solid-State Electronics, Vol. 71, p. 93-100 (Mai 2012). doi:10.1016/j.sse.2011.10.027.
Coulombier, Michaël ; Guisbiers, Grégory ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas. On-chip stress relaxation testing method for freestanding thin film materials. In: Review of Scientific Instruments, Vol. 83, no.10, pp. 105004 - 105004-9 (2012). doi:10.1063/1.4758288.
Bhaskar, Umesh Kumar ; Passi, Vikram ; Houri, Samer ; Escobedo-Cousin, Enrique ; Olsen, Sarah H. ; Pardoen, Thomas ; Raskin, Jean-Pierre. On-chip tensile testing of nanoscale silicon free-standing beams. In: Journal of Materials Research, Vol. 27, no. 3, p. 571-579 (Feb. 2012). doi:10.1557/jmr.2011.340.
Mouthuy, Pierre-Olivier ; Coulombier, Michaël ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Jonas, Alain M.. Overcurvatuve describes the buckling and folding of rings from curved origami to foldable tents. In: Nature Communications, Vol. 3, p. 1290 (december 2012). doi:10.1038/ncomms2311.
Roda Neve, Cesar ; Raskin, Jean-Pierre. RF Harmonic Distortion of CPW Lines on HR-Si and Trap-Rich HR-Si Substrates. In: IEEE Transactions on Electron Devices, Vol. pp, no. Issue 99, pp. 1-9 (10 février 2012). doi:10.1109/TED.2012.2183598.
Tang, Xiaohui ; Francis, Laurent ; Simonis, P. ; Haslinger, Michaël ; Delamare, Romain ; Deschaume, Olivier ; Flandre, Denis ; Defrance, Pierre ; Jonas, Alain M. ; Vigneron, Jean-Pol ; Raskin, Jean-Pierre. Room temperature atomic layer deposition of Al2O3 and replication of butterfly wings for photovoltaic application.. In: Journal of Vacuum Science and Technology. Part A. Vacuum, Surfaces and Films, Vol. 30, no. 1, p. 01A146 (Janvier 2012). doi:10.1116/1.3669521.
Raskin, Jean-Pierre. SOI technologies from microelectronics to microsystems - meeting the More than Moore roadmap requirements. In: Electrochemical Society. Transactions, Vol. 49, no.1, p. 15-23 (2012). doi:10.1149/04901.0015ecst.
Urena, F. ; Olsen, S.H. ; Šiller, L. ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Raskin, Jean-Pierre. Strain in silicon nanowire beams. In: Journal of Applied Physics, Vol. 112, no. 11, p. 114506 (2012). doi:10.1063/1.4765025.
Wang, Binjie ; Idrissi, Hosni ; Shi, H. ; Colla, Marie-Stéphane ; Michotte, Sébastien ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, D.. Texture dependent twin formation in nanocrystalline thin Pd films. In: Scripta Materialia, Vol. 66, no. 11, p. 866-871 (june 2012). doi:10.1016/j.scriptamat.2012.01.038.
Kilchytska, Valeriya ; Md Arshad, M. K. ; Makovejev, S. ; Olsen, S. ; Andrieu, F. ; Poiroux, T. ; Faynot, O. ; Raskin, Jean-Pierre ; Flandre, Denis. Ultra-thin body and thin-BOX SOI CMOS technology analog figures of merit. In: Solid-State Electronics, Vol. 70, p. 50-58 (2012).
Dupuis, Pascal ; Van Overstraeten, Nancy ; Raskin, Jean-Pierre ; Francis, Laurent ; Flandre, Denis. Some mitigations for unequal data variance in linear regression. In: Advanced Mathematical and Computational Tools in Metrology and Testing IX (Advances in Mathematics for Applied Sciences; 84), World Scientific Publishing Vompany, 2012, pp. 118-125. 978-981-4397-94-0. doi:10.1142/9789814397957_0015.
Oueriemi, Ibtissem ; Raskin, Jean-Pierre ; Choubani, Fethi ; Huynen, Isabelle. Analytical validation of experimental nonlinear electrical behavior of Multiwalled Carbon Nanotubes (MWCNTs) Network. Nanotec2012 (Brighton, UK, du 29/08/2012 au 01/09/2012), p. p. 53. In: Proceedings of Nanotec2012, Brighton, UK, 2012, p. 53.
Alvarado, J. ; Tinoco, J.C. ; Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre ; Cerdeira, Antonio ; Contreras, E.. Compact small-signal model for RF FinFETs. 8th International Caribbean Conference on Devices, Circuits and Systems (ICCDCS 2012) (Playa del Carmen (Mexico), du 14/03/2012 au 17/03/2012). In: Proceedings of the 8th International Caribbean Conference on Devices, Circuits and Systems (ICCDCS 2012), IEEE, 2012. 978-1-4577-1116-9, 1-4. doi:10.1109/ICCDCS.2012.6188936. doi:10.1109/ICCDCS.2012.6188936.
Nayak, Pramod ; Olbrechts, Benoit ; Vanden Bulcke, Mathieu ; Raskin, Jean-Pierre. Conventional Fully-Depleted SOI devices going flexible. Innovative Printed Smart Objects – IPSO 2012 (Aix-en-Provence, France, du 16/10/2012 au 17/10/2012). In: Proceedings of the Innovative Printed Smart Objects – IPSO 2012, 2012.
Gkotsis, Petros ; Kilchytska, Valeriya ; Militaru, Otilia ; Berger, Guy ; Fragkiadakis, Charalampos ; Kirby, Paul ; Raskin, Jean-Pierre ; Flandre, Denis ; Francis, Laurent. Effects of radiation and cryogenic temperatures on the electromechanical properties of materials used in microsystems. 12th International Symposium on Materials in the Space Environment (ISME'12) (Noordwijk (the Netherlands), du 24/09/2012 au 28/09/2012). In: Proceedings of the 12th International Symposium on Materials in the Space Environment (ISME'12), 2012, 8.
Roda Neve, Cesar ; Raskin, Jean-Pierre ; Desbonnets, E.. Engineering substrate paths for RF Front End module integration - Focus on Trap Rich High Resistivity Silicon-on-Insulator. 42nd European Solid-State Device Research Conference – ESSDERC 2012, SINANO-NanoFunction Workshop - Novel materials, devices and technologies for high performance on-chip RF applications (Bordeaux, France, du 17/09/2012 au 21/09/2012). In: Proceedings of the 42nd European Solid-State Device Research Conference – ESSDERC 2012, SINANO-NanoFunction Workshop - Novel materials, devices and technologies for high performance on-chip RF applications, 2012.
Campos Delgado, Jessica ; Botello Mendez, Andrés Rafael ; Hackens, Benoît ; Pardoen, Thomas ; Charlier, J.C. ; Raskin, Jean-Pierre. Graphene from alcohols. Graphene 2012 International Conference (Brussels, Belgium, du 10/04/2012 au 13/04/2012). In: Proceedings of the Graphene 2012 International Conference, 2012, pp. 52-53.
Campos Delgado, Jessica ; Botello Mendez, Andrés Rafael ; Hackens, Benoît ; Charlier, J.-C. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Graphene growth by CVD using liquid precursors. American Physical Society – APS, March meeting 2012 - Graphene: Growth, Mechanical Exfoliation, and Properties (Boston, MA, USA, du 27/02/2012 au 02/03/2012). In: Proceedings of the American Physical Society – APS, March meeting 2012 - Graphene: Growth, Mechanical Exfoliation, and Properties, 2012, p. Paper # X12.00010.
Flandre, Denis ; Kilchytska, Valeriya ; Alvarado, José Joaquin ; Boufouss, El Hafed ; Rue, Bertrand ; Roda Neve, Cesar ; Raskin, Jean-Pierre ; Francis, Laurent. Harsh-environment behaviours and performances of advanced Silicon-on-Insulator CMOS sensors, transistors and circuits. VII Workshop on Semiconductor and Micro & Nano Technology, SEMINATEC 2012 (Sao Bernardo do Campo (Brazil), du 12/04/2012 au 13/04/2012). In: , 2012.
Tinoco, J.C. ; Alvarado, J. ; Martinez-Lopez, A.G. ; Raskin, Jean-Pierre. Impact of extrinsic capacitances on FinFETs RF performance. IEEE 12th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF 2012 (Santa Clara, CA, USA, du 16/01/2012 au 18/01/2012). In: Proceedings of the IEEE 12th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF 2012, IEEE, 2012. 978-1-4577-1317-0, pp. 73-76. doi:10.1109/SiRF.2012.6160141.
Makovejev, Sergej ; Olsen, S.H. ; Md Arshad, K. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. Improvement of high-frequency FinFET performance by fin width engineering. IEEE 2012 International SOI Conference (SOI’12) (Napa (USA), du 01/10/2012 au 04/10/2012). In: Proceedings of the IEEE 2012 International SOI Conference (SOI’12), IEEE, 2012. 978-1-4673-2690-2, 58-59. doi:10.1109/SOI.2012.6404381.
Francis, laurent ; Druart, Sylvain ; André, Nicolas ; Gkotsis, Petros ; Flandre, Denis ; Raskin, Jean-Pierre. Magnetic sensors enabled by MEMS and SOI technologies. CMOS Emerging Technologies Conference (Vancouver (Canada), du 18/07/2012 au 20/07/2012). In: Proceedings of the CMOS Emerging Technologies Conference, 2012. 978-0-9878676-1-2.
Emam, Mostafa ; Raskin, Jean-Pierre. Nonlinear high temperature behavior for Low Voltage Low Power applications. 2012 IEEE Subthreshold Microelectronics Conference (SubVT) (Boston, MA, USA, du 09/10/2012 au 10/10/2012). In: Proceedings of the 2012 IEEE Subthreshold Microelectronics Conference (SubVT), 2012, p. Session 1 - Power Management, paper # 3.
Pardoen, Thomas ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Bhaskar, Umesh Kumar ; Passi, Vikram ; Houri, Samer ; Raskin, Jean-Pierre ; Idrissi, Hosni. On chip testing of (freestanding) thin films. GDRi CNRS MECANO, Session I, Mechanical Testing (Paris, France, du 30/10/2012 au 31/10/2012). In: Proceedings of the GDRi CNRS MECANO, Session I, Mechanical Testing, 2012, p. Paper 2.
Makovejev, Sergej ; Olsen, S. ; Andrieu, F. ; Poiroux, T. ; Faynot, O. ; Flandre, Denis ; Raskin, Jean-Pierre ; Kilchytska, Valeriya. On extraction of self-heating features in UTBB SOI MOSFETs. 13th International Conference on Ultimate Integration on Silicon (ULIS 2012) (Grenoble (France), du 06/03/2012 au 07/03/2012). In: Proceedings of the 13th International Conference on Ultimate Integration on Silicon (ULIS 2012), IEEE, 2012. 978-1-4673-0191-6, 109-112. doi:10.1109/ULIS.2012.6193369. doi:10.1109/ULIS.2012.6193369.
Colla, Marie-Stéphane ; Idrissi, Hosni ; Amin-Ahmadi, B. ; Schryvers, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas. On the mechanical properties of palladium thin films: influence of the microstructure and of a passivation layer. Gordon Research Conference on Thin Film & Small Scale Mechanical Behavior (Waterville, ME, USA, du 22/07/2012 au 27/07/2012).
Vayrette, Renaud ; Coulombier, Michaël ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre ; Pardoen, Thomas. On-chip tensile testing for the investigation of thin films, nanoribbons and nanowires mechanical properties. Journées de la Matière Condensée - JMC13 (Montpellier, France, du 27/08/2012 au 31/08/2012). In: Proceedings des Journées de la Matière Condensée - JMC13, 2012, p. 479.
Pardoen, Thomas ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Bhaskar, Umesh Kumar ; Passi, Vikram ; Houri, Samer ; Raskin, Jean-Pierre ; Idrissi, Hosni. On-chip testing of (freestanding) thin films. Indentation 2012 (Ecully, France, du 29/10/2012 au 31/10/2012). In: Proceedings of the Indentation 2012, 2012, p. Paper 1.
Walewyns, Thomas ; Reckinger, Nicolas ; Ryelandt, Sophie ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Francis, Laurent. Polyimide as versatile enabling material for microsystems fabrication: electrodeposited nanowires integration and surface micromachining. 5ème Colloque du Laboratoire International Associé “Nanotechnologies & Nanosystèmes (Orford (Québec), du 15/07/2012 au 18/07/2012). In: Proceedings du 5ème Colloque du Laboratoire International Associé “Nanotechnologies & Nanosystèmes, 2012, p. 103 - Paper GE21.
Ben Ali, Khaled ; Roda Neve, Cesar ; Gharsallah, A ; Raskin, Jean-Pierre. RF SOI CMOS technology on commercial trap-rich high resistivity SOI wafer. 2012 IEEE International SOI Conference (Napa, CA, USA, du 01/10/2012 au 04/10/2012). In: Proceedings of the SOI Conference (SOI), 2012 IEEE International, 2012. 978-1-4673-2689-6, p. 2. doi:10.1109/SOI.2012.6404404.
Md Arshad, M.K. ; Emam, Mostafa ; Kilchytska, Valeriya ; Andrieu, François ; Flandre, Denis ; Raskin, Jean-Pierre. RF behavior of undoped channel ultra-thin body with ultra-thin BOX MOSFETs. IEEE 12th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2012) (Santa Clara (USA), du 16/01/2012 au 18/01/2012). In: Proceedings of the 12th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - Digest of papers (SiRF 2012), IEEE, 2012. 978-1-4577-1318-7, 105-108. doi:10.1109/SiRF.2012.6160155.
Nascimento Santos, Cristiane ; Joucken, Frédéric ; Domingos de Sousa, Meneses ; Echegut, P. ; Campos Delgado, Jessica ; Raskin, Jean-Pierre ; Sporken, Robert ; Hackens, Benoît. Reflectance of pristine and n-doped epitaxial graphene from THz to mid-IR. Graphene 2012 International Conference (Brussels, Belgium, du 10/04/2012 au 13/04/2012). In: Proceedings of the Graphene 2012 International Conference, 2012, p. 210.
Raskin, Jean-Pierre. SOI substrates for More than Moore roadmap. 8th International Caribbean Conference on Devices, Circuits and Systems - ICCDCS 2012 (Playa del Carmen, Mexico, du 14/03/2012 au 17/03/2012). In: Proceedings of the 8th International Caribbean Conference on Devices, Circuits and Systems - ICCDCS 2012, 2012. 978-1-4577-1116-9, p. 4 pages - Paper INV9. doi:10.1109/ICCDCS.2012.6188886.
Raskin, Jean-Pierre. SOI technologies from microelectronics to microsystems - meeting the More than Moore roadmap requirements. IEEE International Conference on Solid-State and Integrated Circuit Technology – ICSICT’12 (Xi'an, China, du 29/10/2012 au 01/11/2012). In: Proceedings of the IEEE International Conference on Solid-State and Integrated Circuit Technology – ICSICT’12, 2012, p. Paper S08-02.
Raskin, Jean-Pierre. SOI technologies from microelectronics to microsystems - meeting the More than Moore roadmap requirements. 27th Symposium on Microelectronics Technology and Devices – SBMicro’12 (Brasilia, Brazil, du 30/08/2012 au 02/09/2012). In: Proceedings of the 27th Symposium on Microelectronics Technology and Devices – SBMicro’12, 2012, p. Paper invited V.
Raskin, Jean-Pierre. Sensing and MEMS devices in thin film SOI MOS technology. 2nd Annual Congress of Nano-S&T – Nano S&T-2012 (Qingdao, China, du 26/10/2012 au 28/10/2012). In: Proceedings of the 2nd Annual Congress of Nano-S&T – Nano S&T-2012, 2012, p. 64.
Bhaskar, U. K. ; Passi, V. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Surface and strain effects on the conductivity of silicon nanowires. 2012 Materials Research Society Fall Meeting – MRS Fall’12 (Boston, Massachusetts (USA), du 25/11/2012 au 30/11/2012). In: 2012 Materials Research Society Fall Meeting – MRS Fall’12, 2012, paper # FF9.28.
Bhaskar, Umesh Kumar ; Passi, Vikram ; Pardoen, Thomas ; Raskin, Jean-Pierre. Surface and stress effects on the electrical conductivity of nano-scale silicon. 2012 MRS Fall Meeting & Exhibit (Boston, Massachusetts, UDA, du 25/11/2012 au 30/11/2012).
Urena, F. ; Raskin, Jean-Pierre ; Olsen, S.H.. Surface roughness characterisation of strained silicon structures using AFM. The 14th edition of the Annual Research Conference – ARC’12 (Newcastle, UK, du 25/01/2012 au 26/01/2012). In: Proceedings of the The 14th edition of the Annual Research Conference – ARC’12, 2012, p. 23.
Wang, X. ; Becker, G. ; Ling, Wu ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Noels, L.. The fracture of polycrystalline silicon based MEMS. 13th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems - EuroSimE 2012 (Lisbon, Portugal, du 16/04/2012 au 18/04/2012). In: Proceedings of the 13th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems - EuroSimE 2012, 2012.
Colla, Marie-Stéphane ; Wang, Bingyu ; Idrissi, Hosni ; Guisbiers, Grégory ; Schryvers, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Time dependent mechanical properties of palladium thin films: influence of microstructure and of the presence of a surface barrier. Nanobrücken II – Hybrid Nanomechanical Testing Techniques (Saarbrücken, Germany, du 22/03/2012 au 23/03/2012).
Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Flandre, Denis. UTBB FDSOI and SOI FinFET device assessment for future analog/RF applications. 2012 IEEE International SOI Conference (Napa (USA), 01/10/2012). In: , 2012.
Md Arshad, M.K. ; Kilchytska, Valeriya ; Makovejev, Sergej ; Olsen, S.H. ; Andrieu, François ; Raskin, Jean-Pierre ; Flandre, Denis. UTBB SOI MOSFETs analog figures of merit: effect of ground plane and asymmetric double-gate regime. Eighth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’12 (Montpellier (France), du 23/01/2012 au 25/01/2012). In: Proceedings of the Eighth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’12, 2012, 111-112.
Kilchytska, Valeriya ; Md Arshad, Mohd Khairuddin ; Makovejev, Sergej ; Olsen, S. ; Andrieu, F. ; Poiroux, T. ; Faynot, O. ; Raskin, Jean-Pierre. Ultra-thin body and thin-BOX SOI CMOS technology analog figures of merit. EUROSOI 2011 Thematic Network on Silicon on Insulator Technology Confererence N°7 (Granada (Spain), du 17/01/2011 au 19/01/2011). In: Solid-State Electronics, Vol. 70, p. 50-58 (avril 2012). doi:10.1016/j.sse.2011.11.020.
Emam, Mostafa ; Raskin, Jean-Pierre. Wideband characterization for optimized performance in Low Voltage Low Power Applications. 59th International Symposium & Exhibition – AVS’12 (Tampa, Florida, USA, du 28/10/2012 au 02/11/2012). In: Proceedings of the 59th International Symposium & Exhibition – AVS’12, 2012, p. 200.
Minnebo, H. ; André, Simon ; Duflot, M. ; Pardoen, Thomas ; Raskin, Jean-Pierre. X-FEM based modelling of complex mixed mode fatigue crack propagation. VIth European Congress on Computational Methods in Applied Sciences and Engineering-ECCOMAS 2012 (Vienna, Austria, du 10/09/2012 au 14/09/2012). In: Book of abstracts, 2012.
Tang, Xiaohui ; Flandre, Denis ; Raskin, Jean-Pierre ; Nizet, Yannick ; Moreno Hagelsieb, Luis ; Pampin, Rémi ; Francis, Laurent. A new interdigitated array microelectrode-oxide-silicon sensor with label-free, high sensitivity and specificity for fast bacteria detection. In: Sensors and Actuators B: Chemical, Vol. 156, no. 2, p. 578-587 (2011). doi:10.1016/j.snb.2011.02.002.
Olbrechts, Benoit ; Rue, Bertrand ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. A novel Approach for Active Pressure Sensors in Thin Film SOI Technology. In: Procedia Engineering, Vol. 25, p. 43-46 (2011). doi:10.1016/j.proeng.2011.12.011.
Escobedo-Cousin, E. ; Olsen, S.H. ; Pardoen, Thomas ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre. Characterizing the effect of uniaxial strain on the surface roughness of Si nanowire MEMS-based microstructures. In: MRS Proceedings, Micromechanical Systems - Materials and Devices, Vol. 1299, p. article 25 (2011). doi:10.1557/opl.2011.251.
Tang, Xiaohui ; Krzeminski, Christophe ; Lecavalier des Etangs-Levallois, Aurélien ; Chen, Zhenkun ; Dubois, Emmanuel ; Kasper, Erich ; Karmous, Alim ; Reckinger, Nicolas ; Flandre, Denis ; Francis, Laurent ; Colinge, Jean-Pierre ; Raskin, Jean-Pierre. Energy-Band Engineering for Improved Charge Retention in Fully Self-Aligned Double Floating-Gate Single-Electron Memories. In: Nano Letters : a journal dedicated to nanoscience and nanotechnology, Vol. 11, no. 11, p. 4520-4526 (3 octobre 2011). doi:10.1021/nl202434k.
Reckinger, Nicolas ; Tang, Xiaohui ; Godey, Sylvie ; Dubois, Emmanuel ; Laszcz, Adam ; Ratajczak, Jacek ; Vlad, Alexandru ; Dutu, Constantin Augustin ; Raskin, Jean-Pierre. Erbium Silicide Growth in the Presence of Residual Oxygen. In: Journal of the Electrochemical Society, Vol. 158, no. 7, p. H715-H723 (11/05/2011). doi:10.1149/1.3585777.
Escobedo-Cousin, Enrique ; Olsen, Sarah H. ; Pardoen, Thomas ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre. Experimental observations of surface roughness in uniaxially loaded strained Si microelectromechanical systems-based structures. In: Applied Physics Letters, Vol. 99, p. 241906 (2011). doi:10.1063/1.3669413.
Passi, Vikram ; Dubois, E. ; Celle, C. ; Clavaguera, S. ; Simonato, J.-P. ; Raskin, Jean-Pierre. Functionalization of Silicon Nanowires for Specific Sensing. In: Electrochemical Society. Transactions, Vol. 35, no. 5, pp. 313-318 (May 10, 2011). doi:10.1149/1.3570811.
Emam, Mostafa ; Pavanello, M.A. ; Danneville, François ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High Temperature effect on Harmonic Distortions in submicron Graded-Channel MOSFETs. In: Advanced Materials Research, Vol. 276, no. -, pp. 67-75 (July 2011). doi:10.4028/www.scientific.net/AMR.276.67.
Passi, Vikram ; Ravaux, Fabian ; Dubois, E. ; Clavaguera, S. ; Celle, C. ; Simonato, J.P. ; Silvestri, L. ; Reggiani, S. ; Vuillaume, D. ; Raskin, Jean-Pierre. High gain and fast detection of warfare agent using back-gated silicon nanowires MOSFETs. In: IEEE Electron Device Letters, Vol. 32, no. 7, p. 976-978 (July 2011). doi:10.1109/LED.2011.2146750.
Roda Neve, Cesar ; Kilchytska, Valeriya ; Alvarado, Jose Joaquin ; Lederer, Dimitri ; Militaru, Otilia ; Flandre, Denis ; Raskin, Jean-Pierre. Impact of neutron irradiation on the RF properties of oxidized high-resistivity silicon substrates with and without a trap-rich passivation layer. In: Microelectronics Reliability, Vol. 51, no. 2, p. 326-331 (Février 2011). doi:10.1016/j.microel.2010.07.153.
Reckinger, Nicolas ; Tang, Xiaohui ; Dubois, Emmanuel ; Larrieu, Guilhem ; Flandre, Denis ; Raskin, Jean-Pierre ; Afzalian, Aryan. Low temperature tunneling current enhancement in silicide/Si Schottky contacts with nanoscale barrier width. In: Applied Physics Letters, Vol. 98, no. 11, p. 112102 (16 March 2011). doi:10.1063/1.3567546.
Tinoco, J.C. ; Martinez-Lopez, A.G. ; Raskin, Jean-Pierre. Mobility degradation and transistor asymmetry impact on field effect transistor access resistances extraction. In: Solid-State Electronics, Vol. 56, no. 1, p. 214-218 (February 2011). doi:10.1016/j.sse.2010.10.020.
Bhaskar, Umesh Kumar ; Houri, Samer ; Passi, Vikram ; Pardoen, Thomas ; Raskin, Jean-Pierre. Nanomechanical testing of free-standing monocrystalline silicon beams. In: ECS Transactions, Vol. 35, no. 5, p. 221-226 (May 2011). doi:10.1149/1.3570799.
Gkotsis, Petros ; Kilchytska, Valeriya ; Bhaskar, Umesh Kumar ; Militaru, Otilia ; Tang, Xiaohui ; Fragkiadakis, C. ; Kirby, P.B. ; Raskin, Jean-Pierre ; Flandre, Denis ; Francis, Laurent. Neutron and gamma radiation effects on MEMS structures. In: Procedia Engineering, Vol. 25, pp. 172-175 (2011). doi:10.1016/j.proeng.2011.12.043.
Roda Neve, Cesar ; Raskin, Jean-Pierre. Nonlinear properties of Si-based substrates for wireless systems and SoC integration. In: Electrochemical Society. Transactions, Vol. 35, no. 5, pp. 169-176 (May 2011). doi:10.1149/1.3570793.
Passi, Vikram ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Sodervall, Ulf ; Nilsson, Bengt ; Petersson, Goran ; Hagberg, Mats ; Raskin, Jean-Pierre. Note: Fast and reliable fracture strain extraction technique applied to silicon at nanometer scale. In: Review of Scientific Instruments, Vol. 82, p. 116106 (2011). doi:10.1063/1.3655464.
Rudenko, Tamara ; Kilchytska, Valeriya ; Arshad, M.K. ; Raskin, Jean-Pierre ; Nazarov, Alexei ; Flandre, Denis. On the MOSFET Threshold Voltage Extraction by Transconductance and Transconductance-to-Current Ratio Change Methods: Part II—Effect of Drain Voltage. In: IEEE Transactions on Electron Devices, Vol. 99, p. 1-9 (2011). doi:10.1109/TED.2011.2168227.
Rudenko, Tamara ; Kilchytska, Valeriya ; Arshad, M.K.M. ; Raskin, Jean-Pierre ; Nazarov, A. ; Flandre, Denis. On the MOSFET Threshold Voltage Extraction by Transconductance and Transconductance-to-Current Ratio Change Methods: Part I—Effect of Gate-Voltage-Dependent Mobility. In: IEEE Transactions on Electron Devices, no. 99, p. 1-8 (14 octobre 2011). doi:10.1109/TED.2011.2168226.
Bhaskar, Umesh Kumar ; Passi, Vikram ; Zulfiqar, Azeem ; Sodervall, U. ; Nilsson, B. ; Peterson, G. ; Hagberg, M. ; Pardoen, Thomas ; Raskin, Jean-Pierre. On-chip tensile testing of the mechanical and electro-mechanical properties of nano-scale silicon free-standing beams. In: Advanced Materials Research, Vol. 276, no. -, pp. 117-126 (2011). doi:10.4028/www.scientific.net/AMR.276.117.
Idrissi, Hosni ; Tumer, Stuart ; Mitsuhara, Masatoshi ; Wang, Binjie ; Hata, Satoshi ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Van Tendeloo, Gustaaf ; Schryvers, Dominique. Point Defect Clusters and Dislocations in FIB Irradiated Nanocrystalline Aluminum Films: An Electron Tomography and Aberration-Corrected High-Resolution ADF-STEM Study. In: Microscopy and Microanalysis, Vol. 17, no. 6, p. 983-990. doi:10.1017/S143192761101213X.
Makovejev, Sergej ; Olsen, S.H. ; Raskin, Jean-Pierre. RF extraction of self-heating effects in FinFETs of various geometries. In: IEEE Transactions on Electron Devices, Vol. 58, no.10, pp. 3335-3341 (October 2011). doi:10.1109/SIRF.2011.5719331.
Tang, Xiaohui ; Flandre, Denis ; Raskin, Jean-Pierre ; Nizet, Yannick ; Moreno-Hagelsieb, L. ; Pampin, R. ; Francis, Laurent. Rapid and selective detection of Staphylococcus aureus using insulated substrate impedance transducers. In: Sensors and Actuators, Vol. B, no.156, p. 578-587 (2011).
Olbrechts, Benoit ; Rue, Bertrand ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. Routes towards novel active pressure sensors in SOI technology. In: Advanced Materials Research, Vol. 276, no. -, pp. 145-155 (July 2011). doi:10.4028/www.scientific.net/AMR.276.145.
Farhi, G. ; Morris, D. ; Charlebois, S.A. ; Raskin, Jean-Pierre. The impact of etched trenches geometry and dielectric material on the electrical behaviour of SOI self-switching diodes. In: Nanotechnology, Vol. 22, no.43, p. 435203 (2011). doi:10.1088/0957-4484/22/43/435203.
André, Nicolas ; Rue, Bertrand ; Scheen, Gilles ; Francis, Laurent ; Flandre, Denis ; Raskin, Jean-Pierre. Ultra Low Power 3-D Flow Meter in Monolithic SOI Technology. In: Electrochemical Society. Transactions, Vol. 35, no. 5, p. 319-324 (May 6, 2011). doi:10.1149/1.3570812.
Idrissi, Hosni ; Wang, Binjie ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Schryvers, Dominique ; Pardoen, Thomas. Ultrahigh Strain Hardening in Thin Palladium Films with Nanoscale Twins. In: Advanced Materials, Vol. 23, no. 18, p. 2119-2122. doi:10.1002/adma.201004160.
Ben Ali, Khaled ; Roda Neve, Cesar ; Gharsallah, A. ; Raskin, Jean-Pierre. Ultrawide Frequency Range Crosstalk Into Standard and Trap-Rich High Resistivity Silicon Substrates. In: IEEE Transactions on Electron Devices, Vol. 58, no. Issue 12, pp. 4258-4264 (December 2011). doi:10.1109/TED.2011.2170074.
Reckinger, Nicolas ; Poleunis, Claude ; Dubois, Emmanuel ; Dutu, Constantin Augustin ; Tang, Xiaohui ; Delcorte, Arnaud ; Raskin, Jean-Pierre. Very low effective Schottky barrier height for erbium disilicide contacts on n-Si through arsenic segregation. In: Applied Physics Letters, Vol. 99, no. 1, p. 012110 (1-3) (16/06/2011). doi:10.1063/1.3608159.
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Zero Temperature Coefficient of current gain cutoff frequency and maximum oscillation frequency for various SOI and Si bulk MOSFETs. In: Electrochemical Society. Transactions, Vol. 35, no. 5, pp. 129-134 (May 10, 2011). doi:10.1149/1.3570787.
André, Nicolas ; Francis, Laurent ; Rue, Bertrand ; Renaux, Christian ; Flandre, Denis ; Raskin, Jean-Pierre. Artificial microbeams for sensing air flow, temperature and humidity by combining MEMS and CMOS technologies. In: Kris Iniewski, Optical, Acoustic, Magnetic, and Mechanical Sensor Technologies, CRC Press, 2011. 9781439869758.
Raskin, Jean-Pierre ; Francis, Laurent ; Flandre, Denis. Sensing and MEMS Devices in Thin-Film SOI MOS Technology. In: A. Nazarov et al. (eds.), Semiconductor-On-Insulator Materials for Nanoelectronics Applications, Engineering Materials, Springer-Verlag: Berlin-Heidelberg, 2011, p. 355-392. 978-3-642-15867-4. doi:10.1007/978-3-642-15868-1_20.
Rudenko, Tamara ; Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Nazarov, Alexei ; Flandre, Denis. Special features of the back-gate effects in ultra-thin body SOI MOSFETs. In: Nazarov, A., Colinge, J.-P., Balestra, F., Raskin, J.-P., Gamiz, F., Lysenko, V.S. (Eds.), Semiconductor-On-Insulator Materials for NanoElectronics Applications, Springer-Verlag: Berlin Heidelberg, 2011, p. 323-343.
Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Flandre, Denis. Specific features of MuGFETs behavior at high temperatures in a wide frequency range. In: N. Collaert, CMOS Nanoelectronics: Innovative Devices, Architectures and Applications, Pan Stanford Publishers, 2011.
Rue, Bertrand ; Olbrechts, Benoit ; Raskin, Jean-Pierre ; Flandre, Denis. A SOI CMOS smart strain sensor. IEEE International SOI Conference (SOI 2011) (Tempe (USA), du 03/10/2011 au 06/10/2011). In: Proceedings of the IEEE International SOI Conference (SOI 2011), 2011. 978-1-61284-761-0, 1-2. doi:10.1109/SOI.2011.6081791.
Olbrechts, Benoit ; Rue, Bertrand ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. A novel approach for active pressure sensors in thin film SOI technology. International conference Eurosensors XXV (Athènes (Grèce), du 04/09/2011 au 07/09/2011). In: Proceedings of the International conference Eurosensors XXV, 2011.
Pardoen, Thomas ; Jacques, P. J. ; Schryvers, D. ; Idrissi, Hosni ; Wang B. ; Colla M.-S. ; Renard K. ; Raskin, Jean-Pierre. Advanced TEM analysis of deformation and growth twins in coarse and nano-grained materials. The XIVth International Conference on Electron Microscopy (Wisla, Poland, du 26/06/2011 au 30/06/2011).
Favache, Audrey ; Teillet, A. ; Poirier, C. ; Carbonnelle, P. ; Raskin, Jean-Pierre ; Jacques, Pascal ; Nysten, Bernard ; Bailly, Christian ; Pardoen, Thomas. Approche du comportement tribologique de couches minces de chrome par la mécanique de la rupture. Journées internationales francophones de tribologie 2011 (Obernai (France), du 11/05/2011 au 13/05/2011). In: , 2011.
Makovejev, Sergej ; Raskin, Jean-Pierre ; Flandre, Denis ; Olsen, S. ; Andrieu, F. ; Poiroux, T. ; Kilchytska, Valeriya. Comparison of Small-Signal Output Conductance Frequency Dependence in UTBB SOI MOSFETs with and without Ground Plane. IEEE International SOI Conference (Tempe (USA), du 03/10/2011 au 06/10/2011). In: Proceedings of the IEEE International SOI Conference, 2011. doi:10.1109/SOI.2011.6081717.
Coulombier, Michaël ; Ryelandt, Laurence ; Idrissi, Hosni ; Wang, Bingyu ; Schrijvers, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Deformation mechanisms in aluminium and aluminium-silicon freestanding thin films uncovered through on-chip testing. European Congress on Advanced Materials and Processes – EuroMAT 2011 (Montpellier, France, du 12/09/2011 au 15/09/2011). In: Proceedings of the European Congress on Advanced Materials and Processes – EuroMAT 2011, 2011, p. Session In-situ Testing 2, paper # 5.
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Effect of gate oxide scaling on RF performance of SOI MOSFETs. 11th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF'11 (Phoenix, Arizona, USA, du 17/01/2011 au 19/01/2011). In: Proceedings of the 11th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF'11, 2011. doi:10.1109/SIRF.2011.5719322.
Coulombier, Michaël ; Guisbiers, Grégory ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas. Experimental evidences of competing stress relaxation mechanisms in thin Al/Si and Pd films tested on chip. MRS Fall meeting (Boston, USA, du 27/11/2011 au 02/12/2011).
Flandre, Denis ; Kilchytska, Valeriya ; Alvarado Pulido, José Joaquin ; Boufouss, El Hafed ; Assaad, Maher ; Rue, Bertrand ; Roda Neve, Cesar ; Raskin, Jean-Pierre ; Francis, Laurent. Extreme-environment behaviors and performances of advanced Silicon-on-Insulator CMOS sensors, transistors and circuits. 2nd International Conference on Advancements in Nuclear Instrumentation, Measurement Methods and their Applications (ANIMMA 2011) (Ghent (Belgium), du 06/06/2011 au 09/06/2011). In: , 2011.
Favache, Audrey ; Teillet, A. ; Poirier, C. ; Pardoen, Thomas ; Jacques, Pascal ; Carbonnelle, Pierre ; Raskin, Jean-Pierre ; Bailly, Christian ; Nysten, Bernard. Fracture mechanics based analysis of the scratch resistance of thin Cr based coatings. European Symposium on Friction, Wear and Wear Protection (Karlsruhe (Germany), du 26/10/2011 au 28/10/2011).
Passi, Vikram ; Dubois, Emmanuel ; Celle, Caroline ; Clavaguera, Simon ; Simonato, Jean-Pierre ; Raskin, Jean-Pierre. Functionalization of Silicon Nanowires for Specific Sensing. The 219th Electrochemical Society Meeting – ECS 2011 (Montreal, QC, Canada, du 01/05/2011 au 06/05/2011). In: Proceedings of the 219th Electrochemical Society Meeting – ECS 2011, 2011, p. Paper #1458.
Passi, V. ; Ravaux, F. ; Dubois, E. ; Clavaguera, S. ; Celle, C. ; Simonato, J.-P. ; Silvestri, L. ; Reggiani, S. ; Vuillaume, D. ; Raskin, Jean-Pierre. Gas detection using Si Nanowires. The 41st European Solid-State Device Research Conference – ESSDERC 2011, SINANO-NanoFunction Workshop (Helsinki (Finland), 16/09/2011). In: The 41st European Solid-State Device Research Conference – ESSDERC 2011, SINANO-NanoFunction Workshop, 2011, paper #9.
Campos Delgado, Jessica ; Botello Mendez, Andrés Rafael ; Hackens, Benoît ; Charlier, J.-C. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Graphene growth by CVD using liquid precursors. Lavoisier Discussions - Graphene on metals: CVD growth and exploitation (Abbaye-Ecole de Sorèze, France, du 26/10/2011 au 27/10/2011). In: Proceeding of the Lavoisier Discussions - Graphene on metals: CVD growth and exploitation, 2011, pp. 11-14.
Bouterfa, Mohamed ; Aouadi, Khaled ; Bertrand, D. ; Olbrechts, Benoit ; Raskin, Jean-Pierre ; Delamare, Romain ; Cortina Gil, Eduardo ; Flandre, Denis. Hadrontherapy beam monitoring: towards a new generation of ultra-thin p-type silicon strip detectors. The Second International Conference on Advancements in Nuclear Instrumentation, Measurement Methods and their Applications – ANIMMA’11 (Ghent, Belgium, du 06/06/2011 au 09/06/2011). In: Proceedings of the The Second International Conference on Advancements in Nuclear Instrumentation, Measurement Methods and their Applications – ANIMMA’11, 2011, paper INV30.
Ryelandt, Sophie ; Carbonnelle, Pierre ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Houri, Samer ; Zeb, G. ; Zulfiqar, A. ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre ; Pardoen, Thomas. High throughput lab-on-chip for testing the mechanical properties of thin films. European Congress on Advanced Materials and Processes – EuroMAT 2011 (Montpellier, France, du 12/09/2011 au 15/09/2011). In: Proceedings of the European Congress on Advanced Materials and Processes – EuroMAT 2011, 2011, p. poster D22-P-1-21.
Rudenko, C. ; Kilchytska, Valeriya ; Md Arshad, Mohd Khairuddin ; Raskin, Jean-Pierre ; Nazarov, A. ; Flandre, Denis. Impact of mobility variation on threshold voltage extraction by transconductance change and gm/Id methods and its demonstration on advanced SOI MOSFETs. Sixth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’11 (Granada, Spain, du 17/01/2011 au 19/01/2011). In: Proceedings of the Sixth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’11, 2011, 25-26.
Pardoen, Thomas ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Idrissi, Hosni ; Wang, Binjie ; Schrijvers, Dominique ; Raskin, Jean-Pierre. Imperfection, rate and size dependent plastic localization in thin nanocrystalline metallic films. DYMAT, 20th Technical meeting: mechanical behaviour of nanomaterials, metallic glasses and architecturally designed materials (Maison de la chimie, Paris, France, du 07/09/2011 au 09/09/2011).
Rudenko, T. ; Kilchytska, Valeriya ; Md Arshad, Mohd Khairuddin ; Raskin, Jean-Pierre ; Nazarov, A. ; Flandre, Denis. Influence of drain voltage on MOSFET threshold voltage determination by transconductance change and gm/Id methods. The 12th International Conference on Ultimate Integration on Silicon – ULIS 2011 (Tyndall Institute, Cork, Ireland, du 14/03/2011 au 16/03/2011). In: Proceedings of the 12th International Conference on Ultimate Integration on Silicon – ULIS 2011, 2011, 150-153.
Olbrechts, Benoit ; Rue, Bertrand ; Flandre, Denis ; Raskin, Jean-Pierre. Innovative Frequency Output Pressure Sensor with Single SOI NMOSFET Suspended Transducer. IEEE International SOI Conference(SOI 2011) (Tempe (USA), du 03/10/2011 au 06/10/2011). In: Proceedings of the IEEE International SOI Conference(SOI 2011), 2011. 978-1-61284-761-0, 1-2. doi:10.1109/SOI.2011.6081790.
Urena, F. ; Raskin, Jean-Pierre ; Olsen, S.. Local characterisation of silicon nanowires. Postgraduate Conference at Newcastle University – PGC 2011 (Newcastle Upon Tyne, UK, du 26/01/2011 au 27/01/2011). In: Proceedings of the Postgraduate Conference at Newcastle University – PGC 2011, 2011.
Urena, F. ; Olsen, S. ; Escobedo-Cousin, E. ; Siller, S. ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre. Local strain characterization of MEMS-based silicon beams by Raman spectroscopy. Electronic Materials Conference - EMC 2011 (Santa Barbara, CA, USA, du 22/06/2011 au 24/06/2011). In: Proceedings of the Electronic Materials Conference - EMC 2011, 2011.
Colla, Marie-Stéphane ; Wang, Binjie ; Idrissi, Hosni ; Guisbiers, Grégory ; Schryvers, Dominique ; Raskin, Jean-Pierre ; Pardoen, Thomas. Mechanical Properties of Palladium Thin Films: High Strength/Ductility Balance Through Growth Nanotwins. ASME 2011 Applied Mechanics and Materials Conference - Session 6-7 Micromechanics of Materials VII (Chicago, US, du 30/05/2011 au 01/06/2011). In: Proceedings of ASME 2011 (McMat2011-4108), 2011.
Bhaskar, Umesh Kumar ; Houri, Samer ; Passi, Vikram ; Pardoen, Thomas ; Raskin, Jean-Pierre. Nano-mechanical testing of free-standing mono-crystalline silicon beams. The 219th Electrochemical Society Meeting – ECS 2011 (Montreal, QC, Canada, du 01/05/2011 au 06/05/2011). In: Proceedings of the 219th Electrochemical Society Meeting – ECS 2011, 2011, p. paper 1446.
Bhaskar, Umesh Kumar ; Houri, Samer ; Passi, Vikram ; Pardoen, Thomas ; Raskin, Jean-Pierre. Nanomechanical testing of free-standing monocrystalline silicon beams. 219th ECS Meeting - Symposium E8 - Advanced Semiconductor-on-Insulator Technology and Related Physics (Montreal, Canada, du 01/05/2001 au 06/05/2011). In: Proceedings of the 219th ECS Meeting, 2011, p. paper #1446.
Gkotsis, P. ; Kilchytska, Valeriya ; Militaru, O. ; Tang, Xiaohui ; Raskin, Jean-Pierre ; Flandre, Denis ; Francis, Laurent. Neutron and gamma radiation effects on MEMS structures. International conference EuroSensors XXV (Athens (Greece), du 04/09/2011 au 07/09/2011). In: International conference EuroSensors XXV, 2011, paper #1345.
Oueriemi, Ibtissem ; Choubani, Fethi ; Huynen, Isabelle ; Raskin, Jean-Pierre. Nonlinear Electrical behavior of Multiwalled Carbon Nanotubes network. Nanotec2011 (Nantes, du August 31st au September 3rd 2011). In: Proceeding of Nanotech 2011 Conference, 2011.
Roda Neve, Cesar ; Raskin, Jean-Pierre. Nonlinear Properties of Si-based substrates for wireless systems and SoC integration. The 219th Electrochemical Society Meeting – ECS 2011 (Montreal, QC, Canada, du 01/05/2011 au 06/05/2011). In: Proceedings of the 219th Electrochemical Society Meeting – ECS 2011, 2011, p. paper 1438.
Emam, Mostafa ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. RF antenna switch using Dynamic Threshold SOI MOSFET. 11th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF'11 (Phoenix, Arizona, USA, 28/01/2011). In: Proceedings of the 11th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF'11, 2011. doi:10.1109/SIRF.2011.5719333.
Makovejev, Sergej ; Olsen, S. ; Raskin, Jean-Pierre. RF extraction of self-heating effects in FinFETs of various geometries. Postgraduate Conference at Newcastle University – PGC 2011 (Newcastle Upon Tyne, UK, du 26/01/2011 au 27/01/2011). In: Proceedings of the Postgraduate Conference at Newcastle University – PGC 2011, 2011.
Makovejev, Sergej ; Olsen, S. ; Raskin, Jean-Pierre. RF extraction of self-heating effects in FinFETs of various geometries. 11th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF'11 (Phoenix, Arizona, USA, du 17/01/2011 au 19/01/2011). In: Proceedings of the 11th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF'11, 2011.
Tang, Xiaohui ; Francis, Laurent ; Haslinger, Michaël ; Delamare, Romain ; Flandre, Denis ; Simonis, Pierre ; Vigneron, Jean-Pol ; Deschaume, Olivier ; Jonas, Alain M. ; Raskin, Jean-Pierre. Replication of butterfly wings by ALD and nanoimprint for production of Si solar cells with high light absorption surface. 11th International Conference on Atomic Layer Deposition – ALD 2011 (Cambridge, Massachusetts (USA), du 26/06/2011 au 29/06/2011). In: Proceedings of the 11th International Conference on Atomic Layer Deposition – ALD 2011, 2011.
Raskin, Jean-Pierre. SOI substrates for analog/RF MOS devices and microsystems. 8th IEEE International Caribbean Conference on Devices, Circuits and Systems – ICCDCS’11 (Playa del Carmen, Mexico, du 14/03/2011 au 17/03/2011). In: Proceedings of the 8th IEEE International Caribbean Conference on Devices, Circuits and Systems – ICCDCS’11, 2011.
Makovejev, Sergej ; Kilchytska, Valeriya ; Md Arshad, Mohd Khairuddin ; Flandre, Denis ; Andrieu, F. ; Faynot, O. ; Olsen, S. ; Raskin, Jean-Pierre. Self-heating and substrate effects in ultra-thin body ultra-thin BOX devices. The 12th International Conference on Ultimate Integration on Silicon – ULIS 2011 (Tyndall Institute, Cork, Ireland, du 14/03/2011 au 16/03/2011). In: Proceedings of the 12th International Conference on Ultimate Integration on Silicon – ULIS 2011, 2011, 130-133. doi:10.1109/ULIS.2011.5758009.
Raskin, Jean-Pierre. Sensing and MEMS Devices in Thin-Film SOI MOS Technology. IEEE International SOI Conference – SOI 2011 (Phoenix, Arizona, USA, du 03/10/2011 au 06/10/2011).
Dupuis, Pascal ; Van Overstraeten, Nancy ; Raskin, Jean-Pierre ; Francis, Laurent ; Flandre, Denis. Some mitigations for unequal data variance in linear regression. AMCTM conference (Göteborg (Sweden), du 20/06/2011 au 22/06/2011). In: Proceedings of the AMCTM conference, 2011.
Kranti, A. ; Raskin, Jean-Pierre ; Armstrong, G.A.. Source/Drain Engineered Ultra Low Power Analog/RF UTBB MOSFETs. The 12th International Conference on Ultimate Integration on Silicon – ULIS 2011 (Tyndall Institute, Cork, Ireland, du 14/03/2011 au 16/03/2011). In: Proceedings of the 12th International Conference on Ultimate Integration on Silicon – ULIS 2011, 2011, p. 114-117. doi:10.1109/ULIS.2011.5757997.
Schryvers, D. ; Idrissi, H. ; Wang, Binjie ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas. Study of Twins in nanoscale Pd films with high strain hardening capacity. TMS Annual Meeting & Exhibition (San Diego, USA, du 27/02/2011 au 03/03/2011). In: Proceedings of TMS Annual Meeting & Exhibition, 2011.
Emam, Mostafa ; Raskin, Jean-Pierre. Sub-threshold RF characteristics of Partially-Depleted SOI MOSFETs. 2011 IEEE Subthreshold Microelectronics Conference (Lexington, MA, USA, du 26/09/2011 au 27/09/2011). In: Proceedings of the 2011 IEEE Subthreshold Microelectronics Conference, 2011, p. Session 2 RF and Analog Circuits, paper # 3.
Silvestri, L. ; Reggiani, S. ; Passi, Vikram ; Ravaux, Fabian ; Dubois, E. ; Raskin, Jean-Pierre. TCAD study of the detection mechanisms in Si-Nanoribbon gas sensors. 41st European Solid-State Device Research Conference – ESSDERC 2011 (Helsinki, Finland, du 12/09/2011 au 16/09/2011). In: Proceedings of the 41st European Solid-State Device Research Conference – ESSDERC 2011, 2011. 978-1-4577-0707-0, pp. 131-134. doi:10.1109/ESSDERC.2011.6044217.
Schryvers, D. ; Idrissi, Hosni ; Wang, Binjie ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre. TEM study of nanoscale Pd films with high strain hardening capacity. ISDMM11 fifth international symposium on defects and material mechanics (Sevilla, Spain, du 27/06/2011 au 01/07/2011).
André, Nicolas ; Francis, Laurent ; Raskin, Jean-Pierre ; Nachergaele, P. ; Vaassen, J.-M. ; Civello, J. ; Cases, S. ; Paquay, S. ; De Baetselier, E.. The integrated design of a MEMS-based flow-sensor system. Smart System Integration 2011, European Conference & Exhibition on Integration Issues of Miniaturized Systems – MEMS, MOEMS ICs and Electronic Components (Dresden (Germany), du 22/03/2011 au 23/03/2011). In: Proceedings of the Smart System Integration 2011, 2011.
André, Nicolas ; Francis, Laurent ; Raskin, Jean-Pierre ; Nachtergaele, P. ; Cases, S. ; Paquay, S. ; De Batselier, E. ; Flandre, Denis. The integrated design of a MEMS-based flow-sensor system. The 9th International Nanotech Symposium & Exhibition in Korea - NANO KOREA 2011 (Kintex (Korea), du 24/08/2011 au 28/08/2011). In: Proceedings of the The 9th International Nanotech Symposium & Exhibition in Korea - NANO KOREA 2011, 2011.
Bouterfa, Mohamed ; Aouadi, Khaled ; Bertrand, Damien ; Olbrechts, Benoit ; Delamare, Romain ; Raskin, Jean-Pierre ; Kilchytska, Valeriya ; Cortina Gil, Eduardo ; Flandre, Denis. Towards a New Generation of Ultra-Thin P-Type Silicon Strip Detectors for Hadrontherapy Beam Monitoring. ANIMMA (Ghent (Belgium), du 06/06/2011 au 09/06/2011). In: Proceedings of ANIMMA, 2011.
Pardoen, Thomas ; Idrissi, Hosni ; WANGA MVEMBA, Binjie ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Schryvers, D.. Ultra High strain hardening in nanocrystalline Pd thin films with nanotwins. Plasticity'11 (Puerto Vallarta, Mexico, du 03/01/2011 au 08/01/2011). In: Plasticity'11, A.Khan ed., 2011.
André, Nicolas ; Rue, Bertrand ; Scheen, Gilles ; Laurent, Francis ; Flandre, Denis ; Raskin, Jean-Pierre. Ultra Low Power 3-D flow meter in monolithic SOI technology. 219th Electrochemical Society Meeting – ECS 2011 (Montreal, QC, Canada, du 01/05/2011 au 06/05/2011). In: Proceedings of the 219th Electrochemical Society Meeting – ECS 2011, 2011, paper 1459.
Colla, Marie-Stéphane ; Wang, Bingyu ; Idrissi, Hosni ; Guisbiers, Grégory ; Schryvers, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Ultra high strain hardening in nanocrystalline palladium thin films with nanotwins: an experimental study coupled to a phenomenological analytical mode. ECI Conference on Nanomechanical Testing in Materials Research and Development (Lanzarote, Canary Islands, Spain, du 09/10/2011 au 14/10/2011). In: Proceedings of the ECI Conference on Nanomechanical Testing in Materials Research and Development, 2011, p. Paper 7.
André, Nicolas ; Francis, Laurent ; Rue, Bertrand ; Druart, Sylvain ; Dupuis, Pascal ; Flandre, Denis ; Raskin, Jean-Pierre. Ultra low power SOI transducer for flow and dew-based humidity sensing. 2011 CMOS Emerging Technologies Workshop (Whistler, BC (Canada), du 14/06/2011 au 18/06/2011). In: Proceedings of the 2011 CMOS Emerging Technologies Workshop, 2011, session 1-D, paper 6.
Kilchytska, Valeriya ; Md Arshad, Mohd Khairuddin ; Makojev, S. ; Olsen, S. ; Andrieu, F. ; Faynot, O. ; Raskin, Jean-Pierre ; Flandre, Denis. Ultra-thin body and BOX SOI Analog Figures of Merit. Sixth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’11 (Granada, Spain, du 17/01/2011 au 19/01/2011). In: Proceedings of the Sixth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’11, 2011, 143-144.
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Zero Temperature Coefficient of Current Gain Cutoff Frequency and Maximum Oscillation Frequency for Various SOI and Si bulk MOSFETs. The 219th Electrochemical Society Meeting – ECS 2011 (Montreal, QC, Canada, du 01/05/2011 au 06/05/2011). In: Proceedings of the 219th Electrochemical Society Meeting – ECS 2011, 2011, p. paper 1446.
Dupuis, Pascal ; André, Nicolas ; Gérard, Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Francis, Laurent. A fast and robust algorithm to assess respiratory frequency in real-time. In: Procedia Engineering, Vol. 5, p. 576-579 (2010). doi:10.1016/j.proeng.2010.09.175.
Passi, Vikram ; Lecestre, Aurélie ; Krzeminski, Christophe ; Larrieu, Guilhem ; Dubois, Emmanuel ; Raskin, Jean-Pierre. A single layer hydrogen silsesquioxane based lift-off process for germanium and platinum. In: Microelectronic Engineering, Vol. 87, no. 10, p. 1872-1878 (October 2010). doi:10.1016/j.mee.2009.11.022.
Moldovan, O. ; Chaves, F.A. ; Jime nez, D. ; Raskin, Jean-Pierre ; Iniguez, B.. Accurate prediction of the volume inversion impact on undoped Double Gate MOSFET capacitances. In: International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol. 23, no. 6, p. 447-457 (2010). doi:10.1002/jnm.745.
Roda Neve, C. ; Farci, A. ; Gallitre, M. ; Blampey, B. ; Meuris, P. ; Arnaud, L. ; Raskin, Jean-Pierre. Behaviour of CPW and TFMS lines at high temperature for RF applications in sub-45 nm nodes. In: Microelectronics Journal, Vol. 87, no.3, p. 324-328 (2010). doi:10.1016/j.mee.2009.06.021.
Elhawil, A. ; Roda Neve, Cesar ; Olbrechts, Benoit ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Poesen, G. ; Zhang, Lei ; Stiens, J. ; Vounckx, R.. Contactless monitoring of Si substrate permittivity and resistivity from microwave to millimeter-wave frequencies. In: Microwave & Optical Technology Letters, Vol. 52, no. 11, pp. 2500-2505 (November 2010). doi:10.1002/mop.25524.
Pardoen, Thomas ; Coulombier, Michaël ; Boe, Alexandre ; Safi, A. ; Brugger, Charles ; Ryelandt, Sophie ; Carbonnelle, Pierre ; Gravier, Sébastien ; Raskin, Jean-Pierre. Ductility of thin metallic films. In: Materials Science Forum, Vol. 633-634, p. 615-635 (2010).
Rinaldi, G. ; Stiharu, I. ; Packirisamy, M. ; Nerguizian, V. ; Landry, R. Jr ; Raskin, Jean-Pierre. Dynamic pressure as a measure of gas turbine engine (GTE) performance. In: Measurement Science and Technology, Vol. 21, no. 4 (2010). doi:10.1088/0957-0233/21/4/045201.
Emam, Mostafa ; Pavanello, M.A. ; Danneville, François ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High temperature effects on harmonic distortions in submicron graded-channel MOSFETs. In: Advanced Materials Research, p. 5 pages (2010).
Ben Ali, Khaled ; Roda Neve, Cesar ; Gharsallah, A. ; Raskin, Jean-Pierre. Impact of crosstalk into high resistivity silicon substrate on the RF performance of SOI MOSFET. In: Journal of Telecommunications and Information Technology, Vol. 4, p. 93-100 (2010).
Coulombier, Michaël ; Boe, Alexandre ; Brugger, C. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Imperfection-sensitive ductility of aluminium thin films. In: Scripta Materialia, Vol. 62, no. 10, p. 742-745 (2010). doi:10.1016/j.scriptamat.2010.01.048.
André, Nicolas ; Druart, Sylvain ; Gérard, Pierre ; Pampin, Rémi ; Moreno Hagelsieb, Luis ; Kezai, Tahar ; Francis, Laurent ; Flandre, Denis ; Raskin, Jean-Pierre. Miniaturized wireless sensing system for real-time breath activity recording. In: IEEE Sensors Journal, Vol. 10, no.1, p. 178-184 (01/2010). doi:10.1109/JSEN.2009.2035666.
Raskin, Jean-Pierre ; Colinge, Jean-Pierre ; Ferain, Isabelle ; Kranti, Abhinav ; Lee, Chi-Woo ; Akhavan, Nima Dehdashti ; Yan, Ran ; Razavi, Pedram ; Yu, Ran. Mobility improvement in nanowire junctionless transistors by uniaxial strain. In: Applied Physics Letters, Vol. 97, no. 4 (2010). doi:10.1063/1.3474608.
Tinoco, J.C. ; Raskin, Jean-Pierre. New RF series extrinsic resistances extraction procedure for deep-submicron MOS transistors. In: International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol. 23, no. 2, p. 107-126 (2010). doi:10.1002/jnm.726.
Olbrechts, Benoit ; Raskin, Jean-Pierre. PECVD oxide as intermediate film for wafer bonding : impact of residual stress. In: Microelectronic Engineering, Vol. 87, no. 11, p. 2178-2186 (November 2010). doi:10.1016/j.mee.2010.01.022.
Urban, Christoph ; Emam, Mostafa ; Sandow, Christian ; Knoch, Joachim ; Zhao, Qing-Tai ; Raskin, Jean-Pierre ; Mantl, Siegfried. Radio-Frequency Study of Dopant-Segregated n-Type SB-MOSFETs on Thin-Body SOI. In: IEEE Electron Device Letters, Vol. 31, no. 6, p. 537-539 (2010). doi:10.1109/LED.2010.2045220.
Urban, C. ; Emam, Mostafa ; Sandow, C. ; Zhao, Q.T. ; Fox, A. ; Mantl, S. ; Raskin, Jean-Pierre. Small-signal analysis of high-performance of p- and n-type SOI SB-MOSFETs with dopant segregation. In: Solid-State Electronics, Vol. 54, no. 9, p. 877-882 (September 2010). doi:10.1016/j.sse.2010.04.013.
Brugger, Charles ; Coulombier, Michaël ; Massart, T. J. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Strain gradient plasticity analysis of the strength and ductility of thin metallic films using an enriched interface model. In: Acta Materialia, Vol. 58, no. 15, p. 4940-4949 (2010). doi:10.1016/j.actamat.2010.05.021.
Emam, Mostafa ; Sakalas, Paulius ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Lim, Tao Chuan ; Danneville, Francois. Thermal Noise in MOSFETs: A Two- or a Three-Parameter Noise Model?. In: IEEE Transactions on Electron Devices, Vol. 57, no. 5, p. 1188-1191 (2010). doi:10.1109/TED.2010.2044286.
André, Nicolas ; Rue, Bertrand ; Van Vynckt, D. ; Francis, Laurent ; Flandre, Denis ; Raskin, Jean-Pierre. Ultra Low Power flow-to-frequency SOI MEMS transducer. In: Procedia Engineering, Vol. 5, p. 540-543 (2010). doi:10.1016/j.proeng.2010.09.166.
Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre. Wide Frequency Band Characterization. In: Francis Balestra (eds), Nanoscale CMOS: Innovative Materials, Modeling and Characterization, Wiley-ISTE, 2010, 672 pages. doi:10.1002/9781118621523.ch17.
André, Nicolas ; Raskin, Jean-Pierre ; Francis, Laurent. 3-D SOI MEMS for sensing applications. 3ème Colloque du Laboratoire International Associé « Nanotechnologies & Nanosystèmes (Sherbrooke (Canada), du 12/07/2010 au 16/07/2010). In: Proceedings du 3ème Colloque du Laboratoire International Associé « Nanotechnologies & Nanosystèmes, 2010, p. 88.
Vasin, A.V. ; Rusavsky, A.V. ; Kysenko, V.S. ; Nazarov, A.N. ; Ishikawa, Yu ; Muto, Sh. ; Kimura, T. ; André, Nicolas ; Raskin, Jean-Pierre. Amorphous silicon-carbon alloy films as a functional material for MEMS technologies. 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” (Kyiv, Ukraine, du 25/10/2010 au 28/10/2010). In: Proceedings of the 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics”, 2010, p. 20.
Kranti, A. ; Rashmi ; Burignat, S. ; Raskin, Jean-Pierre ; Armstrong, G.A.. Analog/RF performance of sub-100 nm SOI MOSFETs with non-classical gate-source/drain underlap channel design. 2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2010) (New Orleans, LA, USA, 11-13 January 2010). In: 2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2010), IEEE, 2010. 978-1-4244-5456-3, p. 45-48. doi:10.1109/SMIC.2010.5422943.
Colinge, Jean-Pierre ; Raskin, Jean-Pierre ; Ferain, I. ; Kranti, A.. Analysis of the Junctionless Transistor Architecture. 2010 International Conference on Solid State Devices and Materials - SSDM 2010 (Tokyo, Japan, du 22/09/2010 au 24/09/2010). In: Proceedings 2010 International Conference on Solid State Devices and Materials - SSDM 2010, 2010, p. paper C-9-4.
Passi, Vikram ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Raskin, Jean-Pierre. Application of process induced stress to study the mechanical properties of monocrystalline and amorphous silicon thin films. Nanomechanical Testing Workshop and Hysitron User Meeting (INM, Saarbrücken, Germany, du 25/02/2010 au 26/02/2010). In: Proceedings of the Nanomechanical Testing Workshop and Hysitron User Meeting, INM: Saarbrücken, Germany, 2010, p. 39-40.
Bhaskar, Umesh Kumar ; Passi, Vikram ; Pardoen, Thomas ; Raskin, Jean-Pierre. Application of process induced stress to study the mechanical properties of monocrystalline and amorphous silicon thin films (poster pres. by U. Bhaskar). Nanobrucken Nanomechanical testing Workshop, INM (Saarbrucken - Germany, 25-26/02/2010).
Passi, Vikram ; Ravaux, F. ; Dubois, Emmanuel ; Raskin, Jean-Pierre. Backgate bias and stress level impact on giant piezoresistance effect in thin silicon films and nanowires. 23rd IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2010) (Wanchai, Hong Kong, China, 24-28 January 2010). In: 23rd IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2010), IEEE, 2010. 978-1-4244-5761-8, p. 464-467. doi:10.1109/MEMSYS.2010.5442464.
Escobedo-Cousin, E. ; Raskin, Jean-Pierre ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Olsen, S.. Characterising the effect of uniaxial strain on the surface roughness of Si nanowire MEMS-based microstructures. 2010 Materials Research Society Fall Meeting - MRS Fall'10 (Boston, MA, USA, du 29/11/2010 au 03/12/2010). In: Proceedings of the 2010 Materials Research Society Fall Meeting, 2010, p. Paper # S3.4..
de Souza, M. ; Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Flandre, Denis ; Pavanello, M.A.. Comparison between the behavior of submicron Graded-Channel SOI nMOSFETs with Fully- and Partially-Depleted operations in a wide temperature range. 2010 IEEE International SOI Conference (San Diego, CA, USA, du 11/10/2010 au 14/10/2010). In: Proceedings of the 2010 IEEE International SOI Conference, 2010, 82-83.
Ben Ali, Khaled ; Roda Neve, Cesar ; André, Nicolas ; Gharsallah, A. ; Raskin, Jean-Pierre. Design and fabrication of RF-MEMS devices on high resistivity silicon substrate. 11th International Symposium on RF MEMS and RF Microsystems – MEMSWAVE 2010 (Otranto, Italy, du 28/06/2010 au 01/07/2010). In: Proceedings of the 11th International Symposium on RF MEMS and RF Microsystems – MEMSWAVE 2010, 2010, p. paper P10.
Ben Ali, Khaled ; Roda Neve, Cesar ; Gharsallah, A. ; Raskin, Jean-Pierre. Efficient polysilicon passivation layer for crosstalk reduction in high-resistivity SOI substrates. 2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2010) (New Orleans, LA, USA, 11-13 January 2010). In: 2010 10th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2010), IEEE, 2010. 978-1-4244-5456-3, p. 212-215. doi:10.1109/SMIC.2010.5422973.
Rudenko, T. ; Flandre, Denis ; Kilchytska, Valeriya ; Burignat, S. ; Raskin, Jean-Pierre ; Andrieu, F. ; Faynot, O. ; Le Tiec, Y. ; Landry, K. ; Nazarov, A. ; Lysenko, V. S.. Experimental study of transconductance and mobility behaviors in ultra-thin SOI MOSFETs with standard and thin buried oxides. 5th Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Circuits (EUROSOI 2009) (Chalmers Univ Technol, Gothenburg (Sweden), Jan 19-21, 2009). In: Solid-State Electronics, Vol. 54, no. 2, p. 164-170 (2010). In: Proceedings of the 5th Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Circuits (EUROSOI 2009), Pergamon: (United Kingdom) Kidlington, 2010. doi:10.1016/j.sse.2009.12.014.
Passi, Vikram ; Bhaskar, Umesh Kumar ; Pardoen, Thomas ; Raskin, Jean-Pierre. Fabrication process for applying high mechanical stress on monocrystalline silicon film. 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” (Kyiv, Ukraine, du 25/10/2010 au 28/10/2010). In: Proceedings of the 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics”, 2010, pp. 125-126.
Emam, Mostafa ; Danneville, F. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Graded Channel MOS transistors for Low Power Low Voltage applications. The sixth Workshop of the Thematic Network on Silicon-on-Insulator technology, devices and circuits – EuroSOI’10 (Grenoble, France, du 25/01/2010 au 27/01/2010). In: Proceedings of the Sixth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’10, 2010, pp. 113-114.
Emam, Mostafa ; Sakalas, P. ; Kumar, A. ; Ida, J. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Danneville, François. Graded Channel concept used to improve RF noise of an industrial 0.15 µm SOI CMOS technology. The 5th European Microwave Integrated Circuits Conference - EuMIC’10 (Paris, France, du 27/09/2010 au 28/09/2010). In: Proceedings of the 5th European Microwave Integrated Circuits Conference - EuMIC’10, 2010.
Raskin, Jean-Pierre. High Resistivity SOI wafer: the substrate for RF SoC applications?. 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” (Kyiv, Ukraine, du 25/10/2010 au 28/10/2010). In: Proceedings of the 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics”, 2010, pp. 51-52.
Emam, Mostafa ; Pavanello, M.A. ; Danneville, François ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High Temperature effect on Harmonic Distortions in submicron Graded-Channel MOSFETs. 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” (Kyiv, Ukraine, du 25/10/2010 au 28/10/2010). In: Proceedings of the 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics”, 2010, pp. 119-120.
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High temperature RF behavior of SOI MOSFET transistors for Low Power Low Voltage applications. 2010 IEEE International SOI Conference (San Diego, CA, USA, du 11/10/2010 au 14/10/2010). In: Proceedings of the 2010 IEEE International SOI Conference, 2010, pp. 147-148.
Pardoen, Thomas ; Coulombier, Michaël ; Boé, A. ; Brugger, Charles ; Colla, Marie-Stéphane ; Delannay, Laurent ; Dancette, Sylvain ; Idrissi, Hosni ; Wang, B. ; Schryvers, D. ; Massart, T.J. ; Raskin, Jean-Pierre. Imperfection and size dependent fracture of thin metallic films. Fifth International Conference on Multiscale Materials Modeling - MMM2010 (Freiburg, Germany, du 04/10/2010 au 08/10/2010). In: Conference proceedings, 2010, p. 243.
Md Arshad, Mohd Khairuddin ; Raskin, Jean-Pierre ; Kilchytska, Valeriya ; Flandre, Denis ; Faynot, O. ; Scheiblin, P. ; Andrieux, F.. Improved DIBL in Ultra Thin Body SOI MOSFETs with Ultra Thin Buried Oxide and inverted substrate. ULtimate Integration on Silicon - ULIS’10 (Glasgow, Scotland, du 17/03/2010 au 19/03/2010). In: Proceedings of the ULtimate Integration on Silicon - ULIS’10, 2010, 113-116.
Carbonnelle, Pierre ; Ryelandt, Sophie ; Boe, Alexandre ; Coulombier, Michaël ; Zulfiqar, Azeem ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre ; Pardoen, Thomas. Lab-on-chips based testing methods to investigate the mechanical behavior of nanofilms. European Conference on Nano Film - ECNF'10 (Liège, Belgium, du 22/03/2010 au 25/03/2010). In: Proceedings of the European Conference on Nano Film - ECNF'10, 2010, p. 37.
Carbonnelle, Pierre ; Ryelandt, Sophie ; Boe, Alexandre ; Coulombier, Michaël ; Zulfiqar, Azeem ; Bhaskar, Umesh Kumar ; Raskin, Jean-Pierre ; Pardoen, Thomas. Lab-on-chips based testing methods to investigate the mechanical behaviour of thin films. 2nd International Conference on Functional Nanocoatings (Dresden, Germany, du 28/03/2010 au 31/03/2010). In: Proceedings of the 2nd International Conference on Functional Nanocoatings, 2010, p. Paper # 25. doi:10.1051/epjconf/20100626001.
Moreno Hagelsieb, Luis ; Tang, Xiaohui ; Bulteel, Olivier ; Nizzet, Y. ; André, Nicolas ; Gérard, Pierre ; Dupuis, Pascal ; Francis, Laurent ; Raskin, Jean-Pierre ; Flandre, Denis. Low-power/high-temperature sensors and MEMS in SOI technology. 2010 NSTI Nanotechnology Conference and Expo (NSTI-Nanotech 2010) (Anaheim (CA/USA), du 21/06/2010 au 24/06/2010). In: Technical Proceedings of the 2010 NSTI Nanotechnology Conference and Expo, NSTI-Nanotech 2010, 2010. 978-1-4398-3415-2, 165-168.
Houri, Samer ; Raskin, Jean-Pierre ; Francis, Laurent. MEMS filters based on traveling flexural waves. 2010 IEEE International Frequency Control Symposium (FCS 2010) (Newport Beach (CA/USA), du 01/06/2010 au 04/06/2010). In: proceedings of the 2010 IEEE International Frequency Control Symposium (FCS 2010), IEEE, 2010. 978-142446399-2, 151-154. doi:10.1109/FREQ.2010.5556353.
Raskin, Jean-Pierre ; Passi, Vikram ; Bhaskar, Umesh Kumar ; Zulfiqar, Azeem ; Pardoen, Thomas. Mechanical and electromechanical on-chip testing of mono- and poly-crystalline silicon nanobeams. 2010 Materials Research Society Fall Meeting - MRS Fall'10 (Boston, MA, USA, du 2911/2010 au 03/12/2010). In: Proceedings of the 2010 Materials Research Society Fall Meeting - MRS Fall'10, 2010.
Colla, Marie-Stéphane ; Coulombier, Michaël ; Boé, A. ; Idrissi, H. ; Wang, B. ; Schrijvers, D. ; Proost, Joris ; Raskin, Jean-Pierre ; Pardoen, Thomas. Mechanical properties characterization of freestanding palladium films by on-chip internal stress controlled nanomechanical tensile testing. Nanobrucken Nanomechanical testing Workshop, INM (Saarbrucken - Germany, 25-26/02/2010). In: Proceedings of the Nanomechanical Testing Workshop and Hysitron User Meeting, INM: Saarbrücken, Germany, 2010, 29-30.
Moreno Hagelsieb, Luis ; Nizet, Yannick ; Tang, Xiaohui ; Bulteel, Olivier ; Van Overstaeten-Schlögel, Nancy ; André, Nicolas ; Dupuis, Pascal ; Raskin, Jean-Pierre ; Fontayne, P.A. ; Gala, Jean-Luc ; Francis, Laurent ; Flandre, Denis. Miniaturized and low cost innovative detection systems for medical and environmental applications. IEEE 2nd Circuits and Systems for Medical and Environmental Applications Workshop - CASME 2010 (Merida (Yucatan/Mexico), du 13/12/2010 au 15/12/2010). In: Proceedings of the IEEE 2nd Circuits and Systems for Medical and Environmental Applications Workshop - CASME 2010, 2010. 978-142449995-3, Article n°5706682. doi:10.1109/CASME.2010.5706682. doi:10.1109/CASME.2010.5706682.
Raskin, Jean-Pierre ; Colinge, Jean-Pierre ; Ferain, I. ; Kranti, A. ; Lee, C.W. ; Dehdashti, N. ; Yan, R. ; Razavi, P. ; Yu, R.. Mobility improvement in nanowire junctionless transistors by uniaxial strain. 2010 IEEE International SOI Conference (San Diego, CA, USA, du 11/10/2010 au 14/10/2010). In: Proceedings of the 2010 IEEE International SOI Conference, 2010, pp. 78-79.
Tinoco, Julio ; Raskin, Jean-Pierre. MuGFETs for microwave and millimeter wave applications. International Conference on Solid-State and Integrated Circuit Technology – ICSICT 2010 (Shanghai, China, du 01/11/2010 au 04/11/2010). In: Proceedings of the International Conference on Solid-State and Integrated Circuit Technology – ICSICT 2010, 2010, pp. 615-618. doi:10.1109/ICSICT.2010.5667308.
Wang, Bingyu ; Idrissi, Hosni ; Colla, Marie-Stéphane ; Coulombier, Michaël ; Boé, Alexandre ; Proost, J. ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schryvers, D.. Nanomechanical tensile testing of metallic thin films. MICROSCIENCE 2010 (London, UK, du 28/06/2010 au 01/07/2010). In: Proceedings of MICROSCIENCE 2010, 2010, p. Paper M3.2-0142.
Ryelandt, Sophie ; Carbonnelle, Pierre ; Boe, Alexandre ; Coulombier, Michaël ; Bhaskar, Umesh Kumar ; Zulfiqar, Azeem ; Colla, Marie-Stéphane ; Dille, J. ; Poirier, C. ; Proost, Joris ; Godet, Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas. Nanomechanical testing of thin metallic films application to aluminium, palladium and chromium films. European Conference on Nano Film - ECNF'10 (Liège, Belgium, du 22/03/2010 au 23/03/2010). In: Proceedings of the European Conference on Nano Film - ECNF'10, 2010, p. 70.
Tinoco, J.C. ; Martinez-Lopez, A.G. ; Emam, Mostafa ; Raskin, Jean-Pierre. New RF Intrinsic Parameters Extraction Procedure for Advanced MOS Transistors. 2010 23rd IEEE ICMTS International Conference on Microelectronic Test Structures (ICMTS 2010) (Hiroshima, Japan, 22-25 March 2010). In: 2010 23rd IEEE ICMTS International Conference on Microelectronic Test Structures (ICMTS 2010), IEEE, 2010. 978-1-4244-6912-3, p. 86-89. doi:10.1109/ICMTS.2010.5466853.
Strepenne, François ; Raskin, Jean-Pierre ; Poirier, C. ; Boe, Alexandre ; Pardoen, Thomas. New adhesion testing methods for thin coatings towards separating the different energy contributions to the total work of fracture. European Conference on Nano Film - ECNF'10 (Liège, Belgium, du 22/03/2010 au 25/03/2010). In: Proceedings of the European Conference on Nano Film - ECNF'10, 2010, p. 132.
Tinoco, J.C. ; Urban, C. ; Emam, Mostafa ; Mantl, S. ; Zhao, Q.T. ; Raskin, Jean-Pierre. Non-Linear analysis of n-type Schottky-Barrier MOSFETs. 2010 IEEE International SOI Conference (San Diego, CA, USA, du 11/10/2010 au 14/10/2010). In: Proceedings of the 2010 IEEE International SOI Conference, 2010, pp. 76-77.
Farhi, G. ; Morris, D. ; Charlebois, S.A. ; Raskin, Jean-Pierre. Nonlinear transport regime in lateral field effect devices based on SOI. 3ème Colloque du Laboratoire International Associé « Nanotechnologies & Nanosystèmes (Sherbrooke, Canada, du 12/07/2010 au 16/07/2010). In: Proceedings du 3ème Colloque du Laboratoire International Associé « Nanotechnologies & Nanosystèmes, 2010, p. P. 56.
Oueriemi, Ibtissem ; Choubani, F. ; Huynen, Isabelle ; Raskin, Jean-Pierre. Performance of low-pass filter based on non-uniform capacitor sections. 2010 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS 2010) (Hammamet, Tunisia, du 23/03/2010 au 25/03/2010), p. 5p. In: 2010 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS 2010), IEEE, 2010. 978-1-4244-6338-1, 5 pp.. doi:10.1109/DTIS.2010.5487587.
Ben Ali, Khaled ; Roda Neve, Cesar ; Gharsallah, A. ; Raskin, Jean-Pierre. Performances RF de structures coplanaires sur substrat silicium haute résistivité passivé avec une couche de polysilicium. Journées Nationales du Réseau Doctoral en Microélectronique – JNRDM 2010 (Montpellier, France, du 07/06/2010 au 09/06/2010). In: Proceedings of the Journées Nationales du Réseau Doctoral en Microélectronique – JNRDM 2010, 2010, pp. 53-56.
André, Nicolas ; Francis, Laurent ; Druart, Sylvain ; Dupuis, Pascal ; Flandre, Denis ; Raskin, Jean-Pierre. Portable wireless microsensing system for human breath monitoring. 2010 CMOS Emerging Technologies Workshop (Whistler, BC (Canada), du 19/05/2010 au 21/05/2010). In: Proceedings of the 2010 CMOS Emerging Technologies Workshop, 2010, Session 2E: Wireless, paper 7.
Elhawil, Amna ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Roda Neve, Cesar ; Stiens, Johan ; Vounckx, Roger. Quasi-optical technique for sensing bond quality of silicon wafer. SPIE Photonics Europe (Micro Optics) (Brussels, Belgium, du 12/04/2010 au 16/04/2010), p. p. 3. In: Proceedings of SPIE Photonics Europe (Micro Optics), 2010, p. 3 p.
Elhawil, A. ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Roda Neve, Cesar ; Olbrechts, Benoit ; Stiens, J. ; Vounckx, R.. Quasi-optical technique for sensing bond quality of silicon wafers. Micro-Optics 2010 (Brussels, Belgium, 12-16 April 2010). In: Micro-Optics 2010, Spie - the international society for optical engineering, 2010, Vol. 7716, 77161R (7 pp.). doi:10.1117/12.853667.
Cerdeira, A. ; Tinoco, J.C. ; Estrada, M. ; Raskin, Jean-Pierre. RF Compact Small-Signal Model for SOI DG-MOSFETs. 2010 27th International Conference on Microelectronics (MIEL 2010) (Nis, Serbia, 16-19 May 2010). In: 2010 27th International Conference on Microelectronics (MIEL 2010), IEEE, 2010. 978-1-4244-7200-0, p. 391-394. doi:10.1109/MIEL.2010.5490458.
Tang, Xiaohui ; Francis, Laurent ; Raskin, Jean-Pierre ; Flandre, Denis. Rapid and Selective Detection of Staphylococcus Aureus Using Insulated Substrate Impedance Transducers. BIT's 3rd World Congress of Industrial Biotechnology 2010 (ibio-2010) (Dalian (China), du 25/07/2010 au 27/07/2010). In: Proceedings of the BIT's 3rd World Congress of Industrial Biotechnology 2010 (ibio-2010), 2010, Track 4.2, p. 292.
Olbrechts, Benoit ; Rue, Bertrand ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. Routes towards novel active pressure sensors in SOI technology. 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” (Kyiv, Ukraine, du 25/10/2010 au 28/10/2010). In: Proceedings of the 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics”, 2010, p. paper 36.
Raskin, Jean-Pierre. SOI technology : an opportunity for RF designers?. 40th European Solid-State Device Research Conference – ESSDERC’10 - ESSDERC Tutorial on Silicon-on-Insulator: from materials to circuit design (Seville, Spain, du 13/09/2010 au 18/09/2010). In: Proceedings of the 40th European Solid-State Device Research Conference – ESSDERC’10, 2010.
Makovejev, Sergej ; Olsen, S. ; Dehan, M. ; Raskin, Jean-Pierre. Self-Heating Effect characterisation in SOI FinFETs. ULtimate Integration on Silicon - ULIS’10 (Glasgow, Scotland, du 17/03/2010 au 19/03/2010). In: Proceedings of the ULtimate Integration on Silicon - ULIS’10, 2010, pp. 9-12.
Makovejev, Sergej ; Olsen, S. ; Raskin, Jean-Pierre. Self-Heating effect in Omega-Gate n-MOSFETs. Postgraduate Conference at Newcastle University – PGC 2010 (Newcastle Upon Tyne, UK, du 13/01/2010 au 14/01/2010). In: Proceedings of the Postgraduate Conference at Newcastle University – PGC 2010, 2010, p. 38.
Wilson, C.J. ; Oila, A. ; Sanderson, L. ; Bull, S.J. ; Raskin, Jean-Pierre. Simulation of the effect of microstructure on the elastic properties of copper interconnects. 11th International Workshop on Stress-Induced Phenomena in Metallization – Stress Workshop 2010 (Dresden, Germany, du 12/04/2010 au 14/04/2010). In: Proceedings of the 11th International Workshop on Stress-Induced Phenomena in Metallization – Stress Workshop 2010, 2010, p. paper P6.
Brugger, Charles ; Coulombier, Michaël ; Boé, A. ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Pardoen, Thomas. Size dependent ductility and fracture behaviour of thin freestanding metallic films. European Conference on Fracture ECF18 (Dresden, Germany, du 30/08/2010 au 03/09/2010).
Rudenko, T. ; Kilchytska, Valeriya ; Raskin, Jean-Pierre ; Andrieu, F. ; Faynot, O. ; Le Tiec, Y. ; Landry, K. ; Nazarov, A. ; Flandre, Denis. Special Features of the Back-Gate Effects in UTB SOI MOSFETs. 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” (Kyiv, Ukraine, du 25/10/2010 au 28/10/2010). In: Proceedings of the 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics”, 2010, 18-19.
Pardoen, Thomas ; Brugger, Charles ; Coulombier, Michaël ; Boé, A. ; Raskin, Jean-Pierre ; Massart, T.J.. Strain hardening in fine grained metallic alloys : from bulk to thin film systems. The International Symposium on Plasticity 2010 (St Kitts, du 03/01/2010 au 08/01/2010). In: Proceedings of the 16th International Symposium on Plasticity and its Current Applications - PLASTICITY 2010, Finite plasticity and viscoplasticity of conventional and emerging materials. Caraibes, 2010. 0-9659463-2-0.
Burignat, S. ; Flandre, Denis ; Arshad, M. K. ; Kilchytska, Valeriya ; Andrieu, F. ; Faynot, O. ; Raskin, Jean-Pierre. Substrate impact on threshold voltage and subthreshold slope of sub-32 nm ultra thin SOI MOSFETs with thin buried oxide and undoped channel. 5th Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Circuits (EUROSOI 2009) (Chalmers Univ Technol, Gothenburg(Sweden), du 05/05/2010 au 07/05/2010). In: Solid-State Electronics, Vol. 54, no. 2, p. 213-219 (2010). In: Proceedings of the 5th Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Circuits (EUROSOI 2009), Pergamon-elsevier Science Ltd: Oxford, 2010. doi:10.1016/j.sse.2009.12.021.
Urena, F. ; Olsen, S. ; Raskin, Jean-Pierre. Surface characterization of silicon nanostructures. Postgraduate Conference at Newcastle University – PGC 2010 (Newcastle Upon Tyne, UK, du 13/01/2010 au 14/01/2010). In: Proceedings of the Postgraduate Conference at Newcastle University – PGC 20101, 2010, p. 49.
Schrijvers, D. ; Idrissi, H. ; Wang, B. ; Colla, Marie-Stéphane ; Coulombier, Michaël ; Boe, Alexandre ; Proost, Joris ; Raskin, Jean-Pierre ; Pardoen, Thomas. TEM characterization of freestanding Pd and Al films for lab-on-chip nanomechanical tensile testing. MICROSCIENCE 2010 (London, UK, du 29/06/2010 au 01/07/2011). In: Proceedings of the MICROSCIENCE 2010, 2010, p. paper M3.2 - 0062.
Wang, B. ; Idrissi, H. ; Colla, Marie-Stéphane ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas ; Schrijvers, D.. TEM characterization of freestanding metallic thin films deformed by controlled on chip internal stress. 17th International Microscopy Congress - IMC17 (Rio de Janeiro, Brazil, du 19/09/2010 au 24/09/2010). In: Proceedings of the 17th International Microscopy Congress - IMC17, 2010, p. paper M12505.
Idrissi, H. ; Wang, B. ; Colla, Marie-Stéphane ; Raskin, Jean-Pierre ; Schrijvers, D. ; Pardoen, Thomas. TEM characterization of twinned nanocrystalline palladium thin films. 2010 Materials Research Society Fall Meeting - MRS Fall'10 (Boston, MA, USA, du 29/11/2010 au 03/12/2010). In: Proceedings of the 2010 Materials Research Society Fall Meeting, 2010, p. paper # P1.3..
Pardoen, Thomas ; Coulombier, Michaël ; Idrissi, H. ; Wang, B. ; Enmili, A. ; Brugger, Charles ; Raskin, Jean-Pierre. Ultra large local ductility in thin freestanding Al films. 2010 Materials Research Society Fall Meeting - MRS Fall'10 (Boston, MA, USA, du 29/11/2010 au 03/12/2010). In: Proceedings of the 2010 Materials Research Society Fall Meeting, 2010, p. paper # P11.3..
Francis, Laurent ; André, Nicolas ; Rue, Bertrand ; Dupuis, Pascal ; Gérard, Pierre ; Bouterfa, Mohamed ; Moreno Hagelsieb, Luis ; Flandre, Denis ; Raskin, Jean-Pierre. Wireless humidity sensing: CMOS fabrication, interfaces, packaging and various applications from weather to re-education. Ecole d'hiver Francophone sur les Technologies de Conception des Systèmes embarqués Hétérogènes – FETCH’10 (Chamonix (France), du 11/01/2010 au 13/01/2010). In: Proceedings of the Ecole d'hiver Francophone sur les Technologies de Conception des Systèmes embarqués Hétérogènes – FETCH’10, 2010, Tutorial 1.
Tang, Xiaohui ; Bayot, Vincent ; Reckinger, Nicolas ; Flandre, Denis ; Raskin, Jean-Pierre ; Dubois, Emmanuel ; Nysten, Bernard. A Simple Method for Measuring Si-Fin Sidewall Roughness by AFM. In: IEEE Transactions on Nanotechnology, Vol. 8, no. 5, p. 611-616 (2009). doi:10.1109/TNANO.2009.2021064.
Tang, Xiaohui ; Flandre, Denis ; Reckinger, Nicolas ; Bayot, Vincent ; Dubois, Emmanuel ; Yarekha, D. A. ; Larrieu, Guilhem ; Lecestre, A. ; Ratajczak, J. ; Breil, N. ; Passi, Vikram ; Raskin, Jean-Pierre. An electrical evaluation method for the silicidation of silicon nanowires. In: Applied Physics Letters, Vol. 95, no. 2 (2009). doi:10.1063/1.3171929.
Raskin, Jean-Pierre. Bulk and surface micromachined MEMS in thin film SOI technology. In: Advanced Substrate News, Vol. ASN 12, no. Spring 2009, p. 5 pages (2009).
Moreno-Hagelsieb, L. ; Nizet, Y. ; Tang, Xiaohui ; Raskin, Jean-Pierre ; Flandre, Denis ; Francis, Laurent. CMOS compatible anodic Al2O3 based sensors for bacteria detection. In: Procedia Chemistry, Vol. 1, p. 1283-1286 (2009).
Tang, Xiaohui ; Jonas, Alain M. ; Nysten, Bernard ; Demoustier-Champagne, Sophie ; Blondeau, Françoise ; Prévot, Pierre-Paul ; Pampin, Rémi ; Godfroid, Edmond ; Iñiguez, Benjamin ; Colinge, Jean-Pierre ; Raskin, Jean-Pierre ; Flandre, Denis ; Bayot, Vincent. Direct protein detection with a nano-interdigitated array gate MOSFET.. In: Biosensors & bioelectronics, Vol. 24, no. 12, p. 3531-7 (2009). doi:10.1016/j.bios.2009.05.012.
Mendez, C. ; Paquay, S. ; Klapka, I. ; Raskin, Jean-Pierre. Effect of geometrical nonlinearity on MEMS thermoelastic damping. In: Nonlinear Analysis: Real World Applications, Vol. 10, no. 3, p. 1579-1588 (2009). doi:10.1016/j.nonrwa.2008.02.002.
Emam, Mostafa ; Sakalas, Paulius ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Lim, Tho Chuan ; Danneville, Francois. Experimental Investigation of RF Noise Performance Improvement in Graded-Channel MOSFETs. In: IEEE Transactions on Electron Devices, Vol. 56, no. 7, p. 1516-1522 (2009). doi:10.1109/TED.2009.2021361.
Emam, M. ; Sakalas, P. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Lim, T. ; Danneville, F.. Experimental evidence of MOSFET high frequency noise reduction by channel engineering. In: IEEE Transactions on Electron Devices, Vol. 56, no.7, p. 1516-1522 (2009).
Boe, Alexandre ; Safi, A. ; Coulombier, Michaël ; Pardoen, Thomas ; Raskin, Jean-Pierre. Internal stress relaxation based method for elastic stiffness characterization of very thin films. In: Thin Solid Films, Vol. 518, no. 1, p. 260-264 (2009). doi:10.1016/j.tsf.2009.06.062.
Boe, Alexandre ; Safi, A. ; Coulombier, Michaël ; Fabregue, D. ; Pardoen, Thomas ; Raskin, Jean-Pierre. MEMS-based microstructures for nanomechanical characterization of thin films. In: Smart Materials and Structures, Vol. 18, no. 11 (2009). doi:10.1088/0964-1726/18/11/115018.
Moreno Hagelsieb, Luis ; Flandre, Denis ; Raskin, Jean-Pierre. Mechanical properties of anodic aluminum oxide for MEMS applications. In: Journal of Vacuum Science and Technology. Part B. Microelectronics and Nanometer Structures, Vol. 27, no.1, pp. 542-546 (2009). doi:10.1116/1.3025906.
André, Nicolas ; Sobieski, Stanislas ; Francis, Laurent ; Raskin, Jean-Pierre. Messung der 3D-Topographie von Sensoren in Mikrosystemtechnik. In: MessTec, no. 01-02, p. 22 (2009).
Gravier, Sebastien ; Coulombier, Michaël ; Safi, Asmahan ; André, Nicolas ; Boe, Alexandre ; Raskin, Jean-Pierre ; Pardoen, Thomas. New On-Chip Nanomechanical Testing Laboratory - Applications to Aluminum and Polysilicon Thin Films. In: IEEE Journal of Microelectromechanical Systems, Vol. 18, no. 3, p. 555-569 (2009). doi:10.1109/JMEMS.2009.2020380.
Valentin, Raphael ; Dubois, Emmanuel ; Larrieu, Guilhem ; Raskin, Jean-Pierre ; Dambrine, Gilles ; Breil, Nicolas ; Danneville, Francois. Optimization of RF Performance of Metallic Source/Drain SOI MOSFETs Using Dopant Segregation at the Schottky Interface. In: IEEE Electron Device Letters, Vol. 30, no. 11, p. 1197-1199 (2009). doi:10.1109/LED.2009.2031254.
Raskin, Jean-Pierre. SOI technology: an opportunity for RF designers? (invited paper). In: Journal of Telecommunications and Information Technology, , no. 4, p. 3-17 (April 2009).
Reckinger, Nicolas ; Tang, Xiaohui ; Bayot, Vincent ; Yarekha, Dmitri A. ; Dubois, Emmanuel ; Godey, Sylvie ; Wallart, Xavier ; Larrieu, Guilhem ; Laszcz, Adam ; Ratajczak, Jacek ; Jacques, Pascal ; Raskin, Jean-Pierre. Schottky barrier lowering with the formation of crystalline Er silicide on n-Si upon thermal annealing. In: Applied Physics Letters, Vol. 94, no. 19 (2009). doi:10.1063/1.3136849.
Sobieski, Stanislas ; André, Nicolas ; Raskin, Jean-Pierre ; Francis, Laurent. Temperature Effect on Lorentz Based Magnetometer. In: Sensor Letters, Vol. 7, no. 3, p. 456-459 (2009). doi:10.1166/sl.2009.1068.
Emam, Mostafa ; Houri, Samer ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. The impact of externally applied mechanical stress on analog and RF performances of SOI MOSFETs. In: Journal of Telecommunications and Information Technology, no. 4, p. 18-24 (2009).
Raskin, Jean-Pierre ; Lederer, Dimitri ; Kilchytska, Valeriya. Wideband Characterization of SOI Materials and Devices. In: Sergo B.Kobadze, Solid State Electronics Research, Nova Publishers, 2009, p. 391.
Moreno Hagelsieb, Luis ; André, Nicolas ; Scheen, Gilles ; Gérard, Pierre ; Nizet, Y. ; Tang, Xiaohui ; Bulteel, Olivier ; Dupuis, Pascal ; Francis, Laurent ; Flandre, Denis ; Raskin, Jean-Pierre. Aluminium Oxide Based Sensors Integration for Medical and Health Monitoring Applications. 14th Annual Conference on Commercializing Micro- and Nanotechnology (COMS 2009) (Copenhagen (Denmark), du 30/08/2009 au 04/09/2009). In: Proceedings of COMS'09, 14th Annual Conference on Commercializing Micro- and Nanotechnology, 2009.
Moreno Hagelsieb, Luis ; André, Nicolas ; Gérard, Pierre ; Kezai, Tahar ; Nizet, Y. ; Tang, Xiaohui ; Bulteel, Olivier ; Francis, Laurent ; Raskin, Jean-Pierre ; Flandre, Denis. Aluminium Oxide Based Sensors for Medical Applications. 14th Biodetection Technologies Conference (Baltimore (MD/USA), du 25/06/2009 au 26/06/2009). In: Proceedings of the 14th Biodetection Technologies Conference, 2009.
Emam, Mostafa ; Pavanello, M.A. ; Danneville, F. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Analog, RF and nonlinear behaviors of submicron graded channel partially depleted SOI MOSFETs. 39th European Solid-State Device Research Conference. ESSDERC 2009 (Athens, Greece, 14-18 September 2009). In: 39th European Solid-State Device Research Conference. ESSDERC 2009, IEEE, 2009. 978-1-4244-4351-2, p. 125-128. doi:10.1109/ESSDERC.2009.5331397.
Roda Neve, Cesar ; Farcy, A. ; Gallitre, M. ; Blampey, B. ; Meuris, P. ; Arnaud, L. ; Raskin, Jean-Pierre. Behaviour of CPW and TFMS lines versus high temperature for RF applications in sub-45 nm nodes. Eighteenth European Workshop on Materials for Advanced Metallization - MAM 2009 (Grenoble, France, du 08/03/2009 au 11/03/2009). In: Proceedings of the eighteenth European Workshop on Materials for Advanced Metallization - MAM 2009, 2009, pp. 165-166.
Moreno Hagelsieb, Luis ; Nizet, Yannick ; Tang, Xiaohui ; Raskin, Jean-Pierre ; Flandre, Denis ; Francis, Laurent. CMOS compatible anodic Al2O3 based sensors for bacteria detection. Eurosensors 23rd Conference (Lausanne (Suisse), du 06/09/2009 au 09/09/2009). In: Procedia Chemistry, Vol. 1, no. 1, p. 1283-1286 (2009). In: Proceedings of the 23rd Eurosensors Conference, Elsevier BV: (Netherlands) Amsterdam, 2009. doi:10.1016/j.proche.2009.07.320.
Emam, Mostafa ; Kumar, A. ; Ida, J. ; Danneville, F. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. DC and RF temperature behavior of deep submicron graded channel MOSFETs. 2009 IEEE International SOI Conference (Foster City, CA, USA, 5-8 October 2009). In: 2009 IEEE International SOI Conference, IEEE, 2009. 978-1-4244-4256-0, 2 pp.. doi:10.1109/SOI.2009.5318757.
Burignat, S. ; Arshad, M.K.M. ; Raskin, Jean-Pierre ; Kilchytska, Valeriya ; Flandre, Denis ; Faynot, O. ; Scheiblin, P. ; Andrieu, F.. Drain/substrate coupling impact on DIBL of ultra thin body and BOX SOI MOSFETs with undoped channel. 39th European Solid-State Device Research Conference. ESSDERC 2009 (Athens, Greece, 14-18 September 2009). In: Proceedings of the 39th European Solid-State Device Research Conference. ESSDERC 2009, IEEE, 2009. 978-1-4244-4351-2, 141-144. doi:10.1109/ESSDERC.2009.5331323.
Houri, Samer ; Raskin, Jean-Pierre ; Francis, Laurent. Electromagnetic transduction of Traveling Anti-Symmetric Lamb Waves in suspended beams. The 20th Workshop on Micromachining, Micromechanics and Microsystems – MME’09 (Toulouse (France), du 20/09/2009 au 22/09/2009). In: Proceedings of the 20th Workshop on Micromachining, Micromechanics and Microsystems – MME’09, 2009, p. Paper C11.
Emam, Mostafa ; Roda Neve, Cesar ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Electronic Semiconductor Characterization Tool (ESC): From measured DC and RF parameters to a wideband electrical equivalent circuit. MOS-AK/ESSDERC/ESSCIRC Workshop - MOS Modeling and Parameter Extraction Working Group (Athens, Greece, du 18/09/2009 au 18/09/2009). In: Proceedings of the MOS-AK/ESSDERC/ESSCIRC Workshop - MOS Modeling and Parameter Extraction Working Group, 2009, p. Paper C.
Boé, A. ; Strepenne, François ; Stefen, P. ; Carbonnelle, Pierre ; Pardoen, Thomas ; Raskin, Jean-Pierre. Enhanced superlayer test method ofr the measurement of the adhesion of thin films. ECI 2009- Nanomechanical Testing in Materials Research and Development (Barga, Toscany, Italy, du 11/10/2009 au 16/10/2009).
Tang, Xiaohui ; Raskin, Jean-Pierre ; Jonas, Alain M. ; Nysten, Bernard ; Demoustier-Champagne, Sophie ; Bayot, Vincent ; Francis, Laurent ; Pampin, Rémi ; Moreno Hagelsieb, Luis ; Flandre, Denis. Fabrication of SOI-based nano-biosensors. 35th International Conference on Micro & Nano Engineering (MNE) (Ghent (Belgium), du 28/09/2009 au 01/10/2009). In: Proceedings of the 35th International Conference on Micro & Nano Engineering (MNE), 2009.
Spiegel, Judith ; Renaux, Christian ; Darques, Michaël ; De La Torre, J. ; Piraux, Luc ; Simon, Pascal ; Raskin, Jean-Pierre ; Huynen, Isabelle. Ferromagnetic inductors on commercial nanoporous anodic alumina. 2009 European Microwave Conference (EuMC) (Rome, Italy, du 29/09/2009 au 01/10/2009), p. pp. 582-585. In: 2009 European Microwave Conference (EuMC), IEEE, 2009. 978-1-4244-4748-0, p. 582-585. doi:10.1109/EUMC.2009.5296230.
Coulombier, Michaël ; Boe, Alexandre ; Safi, A. ; Gravier, Sébastien ; Raskin, Jean-Pierre ; Pardoen, Thomas. Fracture of thin freestanding ductile metallic films characterized using a nanomechanical lab-on-chip technique. 12th International Congress on Fracture, ICF12 (Ottawa, Cananda, du 12/07/2009 au 17/07/2009). In: Proceedings of the 12th International Congress on Fracture, 2009, p. Paper # T44.002..
Rue, Bertrand ; André, Nicolas ; Olbrechts, Benoit ; Gosset, Geoffroy ; Raskin, Jean-Pierre ; Flandre, Denis. High Temperature SOI CMOS Low Power circuits and micro systems for MEMS co-integrated interfaces, temperature sensing and power management applications. International Collaborative Aerospace Development Micro Natnotechnologies: From concepts to systems – CANEUS 2009 (NASA Ames Research Center, CA, USA, du 01/03/2009 au 06/03/2009). In: Proceedings of the International Collaborative Aerospace Development Micro Natnotechnologies: From concepts to systems – CANEUS 2009, 2009, p. Panel Session P10: Low TRL Devices (Sensors and Instrumentation).
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High temperature DC and RF behavior of partially depleted SOI versus deep n-well protected bulk MOSFETs. 2009 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF09) (San Diego, CA, USA, 19-21 January 2009). In: 2009 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF09), IEEE, 2009. 978-1-4244-2830-4, 4 pp.. doi:10.1109/SMIC.2009.4770530.
Rue, Bertrand ; Olbrechts, Benoit ; André, Nicolas ; Raskin, Jean-Pierre ; Flandre, Denis. High temperature SOI CMOS low power circuits for MEMS co-integrated interfaces. International Conference and Exhibition on High Temperature Electronics Network – HiTEN 2009 (Oxford, UK, du 13/09/2009 au 13/09/2009). In: Proceedings of hte International Conference and Exhibition on High Temperature Electronics Network – HiTEN 2009, 2009, Session 4, paper 2.
Rue, Bertrand ; Olbrechts, Benoit ; André, Nicolas ; Raskin, Jean-Pierre ; Flandre, Denis. High temperature SOI CMOS ultra low power circuits for MEMS co-integrated interfaces. International Conference and Exhibition on High Temperature Electronics Network (HiTEN 2009) (Oxford (United Kingdom), du 13/09/2009 au 16/09/2009). In: Proceedings of the International Conference and Exhibition on HPigh Temperature Electronics Network - HiTEN 2009, 2009.
Urban, C. ; Emam, Mostafa ; Sandow, C. ; Zhao, Q.-T. ; Fox, A. ; Raskin, Jean-Pierre ; Mantl, S.. High-frequency performance of dopant-segregated NiSi S/D SOI SB-MOSFETs. 39th European Solid-State Device Research Conference. ESSDERC 2009 (Athens, Greece, 14-18 September 2009). In: 39th European Solid-State Device Research Conference. ESSDERC 2009, IEEE, 2009. 978-1-4244-4351-2, p. 149-152. doi:10.1109/ESSDERC.2009.5331620.
Ben Ali, Khaled ; Roda Neve, Cesar ; Gharsallah, A. ; Raskin, Jean-Pierre. Impact of crosstalk into high resistivity silicon substrate on the RF performance of SOI MOSFET. 8th Diagnostics & Yield Symposium (Warszaw, Poland, du 22/06/2009 au 24/06/2009). In: Proceedings of the 8th Diagnostics & Yield Symposium, 2009, p. Poster 2.
Colla, Marie-Stéphane ; Delmelle, Renaud ; Boé, A. ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Proost, Joris ; Pardoen, Thomas. Impact of hydriding cycles on mechanical properties of palladium thin film. Innovations in Thin Film Processing and Characterization - ITFPC'09 (Nancy - France, du 17/07/2009 au 20/07/2009). In: Proceedings of the Innovations in Thin Film Processing ans Characterization, 2009, Paper P 2.10, p 100.
Roda Neve, Cesar ; Kilchytska, Valeriya ; Alvarado, J. ; Lederer, Dimitri ; Militaru, Otilia ; Flandre, Denis ; Raskin, Jean-Pierre. Impact of neutron irradiation on oxidized high-resistivity silicon substrates with and without a trap-rich passivation layer. The 10th edition the European Conference on Radiation and its Effects on Components and Systems - RADECS 2009 (Bruges, Belgium, du 14/09/2009 au 17/09/2009). In: Proceedings of the 10th edition the European Conference on Radiation and its Effects on Components and Systems - RADECS 2009, 2009, Paper A-6.
Pardoen, Thomas ; Brugger, Charles ; Coulombier, Michaël ; Boe, Alexandre ; Colla, Marie-Stéphane ; Proost, Joris ; Massart, T.J. ; Raskin, Jean-Pierre. Imperfection and size dependent ductility of thin freestanding metallic films. 2009 Materials Research Society (MRS) Fall Meeting (Boston, USA, November 30 - December 4).
Moreno Hagelsieb, Luis ; André, Nicolas ; Scheen, Gilles ; Gérard, Pierre ; Nizet, Yannick ; Tang, Xiaohui ; Bulteel, Olivier ; Dupuis, Pascal ; Francis, Laurent ; Raskin, Jean-Pierre ; Flandre, Denis. Integration of aluminum oxide based sensors for medical and health monitoring applications. The 14th Annual Conference on Commercializing Micro- and Nanotechnology – COMS 2009 (Copenhagen (Denmark), du 30/08/2009 au 04/09/2009). In: Proceedings of the 14th Annual Conference on Commercializing Micro- and Nanotechnology – COMS 2009, 2009.
Boé, A. ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Bhaskar, Umesh Kumar ; Zulfiqar, Azeem ; Pardoen, Thomas ; Raskin, Jean-Pierre. Internal stress relaxation based method to extract the Young's modulus of brittle and ductile thin layers. Innovations in Thin Film Processing and Characterization - ITFPC'09 (Nancy - France, du 17/11/2009 au 20/11/2009). In: Proceedings of the Innovations in Thin Film Processing ans Characterization, 2009, Paper O 3.3, p 12.
Roda Neve, Cesar ; Raskin, Jean-Pierre. Is SOI technology an opportunity for RF designers?. The 12th International Symposium on Microwave and Optical Technology – ISMOT 2009 (New Delhi, India, du 16/12/2009 au 19/12/2009). In: Proceedings of The 12th International Symposium on Microwave and Optical Technology – ISMOT 2009, 2009, p. paper INV 81 - ISMOT/09/I/611.
Boe, Alexandre ; Coulombier, Michaël ; Colla, Marie-Stéphane ; Brugger, Charles ; DILLE, JEAN ; Proost, Joris ; GODET, S. ; Legros, M. ; Mompiou, F. ; Sharon, J.A. ; Hemker, K.J. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Lab-on-chip tensile stages for nanomechanical testing and TEM analysis. 35th International Conference on Micro & Nano Engineering - MNE'09 (Ghent, du 28/09/2009 au 01/10/2009). In: Proceedings of the 35 th International Conference on Micro & Nano Engineering, 2009, p. paper # O-MEMS-02.
Boé, A. ; Coulombier, Michaël ; Ryelandt, Sophie ; Pardoen, Thomas ; Raskin, Jean-Pierre. MEMS based microstructures for nanomechanical characterization of thin films. GDR MECANO - 2ème atelier général Ecole des Mines de Paris (Paris, du 23/04/2009 au 24/04/2009).
Dubois, Emmanuel ; Larrieu, Guilhem ; Breil, Nicolas ; Valentin, R. ; Danneville, F. ; Yarekha, D. ; Reckinger, Nicolas ; Tang, Xiaohui ; Halimaoui, Aomar ; Rengel, R. ; Pascual, E. ; Pouydebasque, A. ; Wallart, X. ; Godey, S. ; Ratajczak, J. ; Laszcz, A. ; Katcki, J. ; Raskin, Jean-Pierre ; Dambrine, Gilles ; Cros, A. ; Skotnicki, T.. Metallic Source/Drain Architecture for Advanced MOS Technology: an overview of METAMOS results. 8th Diagnostics & Yield Symposium (Warszaw (Poland), du 22/06/2009 au 24/06/2009). In: Proceedings of the 8th Diagnostics & Yield Symposium, 2009.
Coulombier, Michaël ; Boé, A. ; Bhaskar, Umesh Kumar ; Ryelandt, Sophie ; Brugger, Charles ; Raskin, Jean-Pierre ; Pardoen, Thomas. New testing method for ductility of thin Al films (oral pres. by M. Coulombier). ECI 2009, Nanomechanical Testing in Materials Research and Development (Barga (Tuscany), Italy, du 11/10/2009 au 16/10/2009).
Danneville, François ; Lim, T.C. ; Emam, Mostafa ; Sakalas, P. ; Dambrine, Gilles ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Noise properties of Low-Power Si MOSFETs through different channel engineering. International Microwave Symposium 2009, Workshop WSL (IMS/RFIC) - State-of-the-Art of Low-Noise III-V Narrow-Bandgap and Silicon FET Technologies for Low-Power Applications (Boston, USA, du 07/06/2009 au 12/06/2009). In: Proceedings of the International Microwave Symposium 2009, Workshop WSL (IMS/RFIC) - State-of-the-Art of Low-Noise III-V Narrow-Bandgap and Silicon FET Technologies for Low-Power Applications, 2009, p. Paper 5.
Farhi, G. ; Morris, D. ; Charlebois, S.A. ; Raskin, Jean-Pierre. Nonlinear transport regime in lateral field effect devices based on SOI. 14th Canadian Semiconductor Technology Conference – CSTC’09 (Hamilton, Ontario, Canada, du 10/08/2009 au 14/08/2009). In: Proceedings of the 14th Canadian Semiconductor Technology Conference – CSTC’09, 2009, p. Session IX, paper # 9.
Boé, A. ; Coulombier, Michaël ; Safi, A. ; Pardoen, Thomas ; Raskin, Jean-Pierre. On-chip testing laboratory for nanomechanical characterization of thin films. the 2009 SEM Annual Conference and Exposition on Experimental and Applied Mechanics (Albuquerque, NM USA, du 01/06/2009 au 04/06/2009). In: Proceedings of the 2009 SEM Annual Conference and Exposition on Experimental and Applied Mechanics, Society for Experimental Mechanics Inc. ed(s), 2009, p. -.
Roda Neve, Cesar ; Spiegel, Judith ; Molenberg, Isabel ; Huynen, Isabelle ; Elhawil, A. ; Poesen, G. ; Zhang, L. ; Stiens, J. ; Vounckx, R. ; Raskin, Jean-Pierre. On-wafer and free space characterization of materials and devices for mm-wave imaging. Workshp on Millimeter Wave Imaging and Technologies for Security Applications, KUL (Leuven, Belgium, du 09/07/2009 au 09/07/2009), p. Paper 9. In: Proceedings of the Workshp on Millimeter Wave Imaging and Technologies for Security Applications, KUL, 2009, p. Paper 9.
Raskin, Jean-Pierre. SOI technology: an opportunity for RF designers?. 8th Diagnostics & Yield Symposium (Warszaw, Poland, du 22/06/2009 au 24/06/2009). In: Proceedings of the 8th Diagnostics & Yield Symposium, 2009, p. Paper 7.
Raskin, Jean-Pierre. SOI technology: an opportunity for RF designers?. Fifth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’09 (Göteborg, Sweden, du 19/01/2009 au 21/01/2009). In: Proceedings of the Fifth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’09, 2009, p. Paper 3.
Tang, Xiaohui ; Ravau, F. ; Dubois, E. ; Kasper, E. ; Karmous, A. ; Reckinger, Nicolas ; Raskin, Jean-Pierre. Self-aligned single-electron memory fabrication based on Si/SiGe/Si heterostructures. 35th International Conference on Micro & Nano Engineering – MNE’09 (Ghent (Belgium), du 28/09/2009 au 01/10/2009). In: Proceedings of the 35th International Conference on Micro & Nano Engineering – MNE’09, 2009, p. paper P-NANO-60.
Tang, Xiaohui ; Ravau, F. ; Dubois, E. ; Kasper, E. ; Karmous, A. ; Reckinger, Nicolas ; Raskin, Jean-Pierre. Self-aligned single-electron memory fabrication based on Si/SiGe/Si heterostructures. Workshop on Templated Self-Organization: processing, characterization and modeling (Stuttgart (Germany), du 25/06/2009 au 26/06/2009). In: Proceedings of the Workshop on Templated Self-Organization: processing, characterization and modeling, 2009.
Dutu, Constantin Augustin ; Vlad, Alexandru ; Raskin, Jean-Pierre ; Melinte, Sorin. Silicon nanostructures for biosensors.. International Balkan Workshop on Applied Physics IBWAP2009 (Constanta (Romania), du 06/07/2009 au 08/07/2009). In: Proceedings of the 10th International Balkan Workshop on Applied Physics – IBWAP’09, 2009, Section S4, no.1.
Coulombier, Michaël ; Boé, A. ; Ryelandt, Sophie ; Brugger, Charles ; Wang, B. ; Idrissi, H. ; SCHRIJVERS, D. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Size dependent ductility of thin metallic films using internal stress driven nanotesting structures . Innovations in Thin Film Processing and Characterization - ITFPC'09 (Nancy - France, du 17/11/2009 au 20/11/2009).
Brugger, Charles ; Coulombier, Michaël ; Boé, A. ; Raskin, Jean-Pierre ; Massart, T.J. ; Pardoen, Thomas. Strain gradient plasticity based modeling of the ductility of thin freestanding metallic films (oral pres. By C. Brugger). IICSMA 15 - 15th International Conference on the Strength of Materials (Dresden, du 16/08/2009 au 21/08/2009).
Brugger, Charles ; Coulombier, Michaël ; Boé, A. ; Raskin, Jean-Pierre ; Massart, T.J. ; Pardoen, Thomas. Strain gradient plasticity based modeling of the necking in thin metallic films: influence of grain size and imperfections. Materials Science & Technology Conference & Exhibition 2009 (Pittsburgh, Pennsylvania, USA, du 25/10/2009 au 29/10/2009). In: Proceedings of the Materials Science & Technology Conference & Exhibition 2009, 2009, p.143.
Brugger, Charles ; Massart, T.J. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Strain gradient plasticity based modeling of the size dependent strength and ductility of thin polycrystalline metallic films. Innovations in Thin Film Processing and Characterization - ITFPC'09 (Nancy, France, du 17/11/2009 au 20/11/2009). In: Proceedings of the Innovations in Thin Film Processing and Characterization, 2009.
Burignat, Stéphane ; Flandre, Denis ; Kilchytska, Valeriya ; Andrieu, F. ; Faynot, O. ; Raskin, Jean-Pierre. Substrate Effects in sub-32 nm ultra thin SOI MOSFETs with thin buried oxide. EUROSOI Conference 2009 (Göteborg (Sweden), du 19/01/2009 au 21/01/2009). In: Proceedings of the EUROSOI Conference, Chalmers University of Technology: Göteborg, Sweden, 2009.
Roda Neve, Cesar ; Lederer, Dimitri ; Raskin, Jean-Pierre. Substrate crosstalk reduction using a passivation barrier on oxidized high-resistivity Si substrates. Fifth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’09 (Göteborg, Sweden, du 19/01/2009 au 21/01/2009). In: Proceedings of the Fifth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’09, 2009, pp. 119-120.
Coulombier, Michaël ; Boé, A. ; Ryelandt, Sophie ; Pardoen, Thomas ; Raskin, Jean-Pierre. TEM observation of damage in aluminium thin films using on-chip nanomechanical testing laboratory. GDR MECANO - 2ème atelier général Ecole des Mines de Paris (Paris, du 23/04/2009 au 24/04/2009).
Emam, Mostafa ; Houri, Samer ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. The impact of externally applied mechanical stress on analog and RF performances of SOI MOSFETs. 8th Diagnostics & Yield Symposium (Warszaw, Poland, du 22/06/2009 au 24/06/2009). In: Proceedings of the 8th Diagnostics & Yield Symposium, 2009.
Rudenko, Tamara ; Kilchytska, Valeriya ; Burignat, Stéphane ; Raskin, Jean-Pierre ; Andrieu, F. ; Faynot, O. ; Le Tiec, Y. ; Landry, K. ; Nazarov, Alexei ; Lysenko, V.S. ; Flandre, Denis. Transconductance and mobility behaviors in UTB SOI MOSFETs with standard and thin BOX. EUROSOI Conference 2009 (Göteborg (Sweden), du 19/01/2009 au 21/01/2009). In: Proceedings of the EUROSOI Conference 2009, 2009.
Kranti, A. ; Burignat, S. ; Raskin, Jean-Pierre ; Armstrong, G.A.. Underlap channel UTBB MOSFETs for low-power analog/RF applications. 2009 10th International Conference on Ultimate Integration on Silicon (Aachen, Germany, 18-20 March 2009). In: 2009 10th International Conference on Ultimate Integration on Silicon, IEEE, 2009. 978-1-4244-3704-7, p. 173-176. doi:10.1109/ULIS.2009.4897564.
Strepenne, François ; Poirier, C. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Wedge opening test for measuring the adhesion of thin films : applications and separation by multiscale modeling of the different energy contributions. 12th International Congress on Fracture, ICF12 (Ottawa, Cananda, du 12/07/2009 au 17/07/2009). In: Proceedings of the 12th International Congress on Fracture, 2009, p. Paper # T44.009..
André, Nicolas ; Gérard, Pierre ; Drochmans, Philippe ; Kezai, Tahar ; Druart, Sylvain ; Moreno Hagelsieb, Luis ; Francis, Laurent ; Flandre, Denis ; Raskin, Jean-Pierre. Wireless microsensors system for monitoring breathing activity. 4th European Conference of the International Federation for Medical and Biological Engineering (IFMBE 2008) (Antwerp (Belgium), du 23/11/2008 au 27/11/2008). In: Proceedings of the 4th European Conference of the International Federation for Medical and Biological Engineering (ECIFMBE 2008), Springer verlag, 2009. 978-354089207-6, 875-879. doi:10.1007/978-3-540-89208-3_209.
Pavageau, Christophe ; Moussa, Mehdi Si ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Fel, Nicolas ; Russat, Jean ; Picheta, Laurence ; Danneville, Francois. A 7-dB 43-GHz CMOS distributed amplifier on high-resistivity SOI substrates. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 56, no. 3, p. 587-598 (2008). doi:10.1109/TMTT.2008.916930.
Tang, Xiaohui ; Reckinger, Nicolas ; Larrieu, Guilhem ; Dubois, Emmanuel ; Flandre, Denis ; Raskin, Jean-Pierre ; Nysten, Bernard ; Jonas, Alain M. ; Bayot, Vincent. Characterization of ultrathin SOI film and application to short channel MOSFETs. In: Nanotechnology, Vol. 19, no. 16, p. 165703 (2008). doi:10.1088/0957-4484/19/16/165703.
Lederer, Dimitri ; Roda Neve, Cesar ; Olbrechts, Benoit ; Raskin, Jean-Pierre. Fabrication and Characterization of High Resistivity SOI Wafers for RF Applications. In: ECS Transactions, Vol. 16, no. 8, p. 165-174 (2008). doi:10.1149/1.2982866.
Doria, Rodrigo Trevisoli ; Cerdeira, Antonio ; Raskin, Jean-Pierre ; Flandre, Denis ; Pavanello, Marcelo Antonio. Harmonic distortion analysis of double gate graded-channel MOSFETs operating in saturation. In: Microelectronics, Vol. 39, no. 12, p. 1663-1670 (2008). doi:10.1016/j.mejo.2008.02.006.
Raskin, Jean-Pierre ; Pailloncy, Guillaume ; Lederer, Dimitri ; Danneville, Francois ; Dambrine, Gilles ; Decoutere, Stefaan ; Mercha, Abdelkarim ; Parvais, Bertrand. High-Frequency Noise Performance of 60-nm Gate-Length FinFETs. In: IEEE Transactions on Electron Devices, Vol. 55, no. 10, p. 2718-2727 (2008). doi:10.1109/TED.2008.2003097.
Emam, M. ; Tinoco, C. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High-Temperature DC and RF behaviors of Partially-Depleted SOI MOSFET transistors. In: Solid-State Electronics, Vol. 52, no.12, p. 1924-1932 (2008).
Raskin, Jean-Pierre ; Pearman, D.J. ; Pailloncy, Guillaume ; Larson, J.M. ; Snyder, J. ; Leadley, D.L. ; Whall, T.E.. High-frequency performance of Schottky Barrier p-MOSFET devices. In: IEEE Electronic Device Letters, Vol. 29, no. 4, p. 396-398 (April 2008).
Doria, R. T. ; Cerdeira, A. ; Raskin, Jean-Pierre ; Flandre, Denis ; Pavanello, M. A.. Linearity analysis in Double Gate Graded-Channel SOI devices applied to 2-MOS MOSFET-C balanced structures. In: ECS Transactions, Vol. 14, p. 273-282 (2008). doi:10.1149/1.2956041.
Reckinger, Nicolas ; Tang, Xiaohui ; Bayot, Vincent ; Yarekha, Dmitri A. ; Dubois, E. ; Godey, Sylvie ; Wallart, Xavier ; Larrieu, Guilhem ; Laszcz, Adam ; Ratajczak, J. ; Raskin, Jean-Pierre. Low Schottky barrier height for ErSi2−x/n-Si contacts formed with a Ti cap. In: Journal of Applied Physics, Vol. 104, no. 10, p. 103523 (2008). doi:10.1063/1.3010305.
Reckinger, N. ; Tang, Xiaohui ; Dubois, E. ; Godey, S. ; Wallart, X. ; Raskin, Jean-Pierre. Low Schottky barrier height for ErSi2−x/n-Si contacts formed with a Ti cap . In: Journal of Applied Physics, Vol. 104, no.10, p. 103523 (2008).
Charavel, Rémy ; Ackaert, J. ; Dhondt, K. ; Vlachakis, B. ; De Schepper, L. ; Millecam, M. ; Vandevelde, Eddy ; Bogaert, Pierre ; De Backer, E. ; Ilin, Anton ; Vlad, Alexandru ; Raskin, Jean-Pierre. MIMC Reliability and Electrical Behavior Defined by a Physical Layer Property of the Dielectric. In: ECS Transactions, Vol. 13, no. 2, p. 83-90 (February 2008).
Balestra, F. ; Parker, E. ; Leadley, D.L. ; Mantl, S. ; Dubois, E. ; Engstrom, o. ; Clerc, R ; Cristoloveanu, Sorin ; Kurz, H. ; Raskin, Jean-Pierre ; Lemme, M. ; Ionescu, A. ; Kasper, E. ; Karmous, A. ; Baus, M. ; Spangenberg, B. ; Ostling, M. ; Sangiorgi, E. ; Ghibaudo, G. ; Flandre, Denis. NANOSIL network of excellence-silicon-based nanostructures and nanodevices for long-term nanoelectronics applications. In: Materials Science in Semiconductor Processing, Vol. 11, no. 5-6, p. 148-159 (2008). doi:10.1016/j.mssp.2008.09.017.
André, Nicolas ; Sobieski, Stanislas ; Francis, Laurent ; Raskin, Jean-Pierre. Out-of-plane topography of 3-D surface micromachined micro-sensors. In: Polytec InFocus - Optical Measurement Solutions, no. 2, p. 22-23 (February 2008).
Lederer, Dimitri ; Raskin, Jean-Pierre. RF performance of a commercial SOI technology transferred onto a passivated HR silicon substrate. In: IEEE Transactions on Electron Devices, Vol. 55, no. 7, p. 1664-1671 (2008). doi:10.1109/TED.2008.923564.
Valentin, Raphael ; Dubois, Emmanuel ; Raskin, Jean-Pierre ; Larrieu, Guilhem ; Dambrine, Gilles ; Lim, Tao Chuan ; Breil, Nicolas ; Danneville, Francois. RF small-signal analysis of Schottky-barrier p-MOSFET. In: IEEE Transactions on Electron Devices, Vol. 55, no. 5, p. 1192-1202 (2008). doi:10.1109/TED.2008.919382.
Roda Neve, Cesar ; Lederer, Dimitri ; Raskin, Jean-Pierre. Reduction of Photo-Induced excess carriers in optically controlled microwave circuits on HR-Si. In: Proceedings of the European Microwave Association, Vol. 4, p. 199-205 (June 2008).
Kilchytska, Valeriya ; Flandre, Denis ; Raskin, Jean-Pierre. Silicon-on-Nothing MOSFETs: An efficient solution for parasitic substrate coupling suppression in SOI devices. In: Applied Surface Science, Vol. 254, no. 19, p. 6168-6173 (2008). doi:10.1016/j.apsusc.2008.02.171.
El Kaamouchi, Majid ; Delatte, Pierre ; Moussa, M. Si ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Temperature behavior of spiral inductors on high resistivity substrate in SOI CMOS technology. In: Solid-State Electronics, Vol. 52, no. 12, p. 1915-1923 (2008). doi:10.1016/j.sse.2008.06.060.
André, Nicolas ; Sobieski, Stanislas ; Renaux, Christian ; Flandre, Denis ; Raskin, Jean-Pierre. 3-D CMOS compatible MEMS sensors and actuators. Workshop on MEMS and Nanotechnology through Science and Applications (UCL/Louvain-la-Neuve (Belgium), 18/03/2008). In: proceedings of the Workshop on MEMS and Nanotechnology through Science and Applications, 2008.
André, Nicolas ; Rue, Bertrand ; Renaux, Christian ; Flandre, Denis ; Raskin, Jean-Pierre. 3-D capacitive MEMS sensors co-integrated with SOI CMOS circuits. Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits (EUROSOI 2008) (Tyndall National Institute, Cork (Ireland), du 23/01/2008 au 25/01/2008). In: Proceedings of the EUROSOI - 2008, Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits, 2008, 75-76.
Pardoen, Thomas ; Coulombier, Michaël ; Safi, A. ; Boé, A. ; Raskin, Jean-Pierre. A new nanomechanical testing concept for thin films. Gordon Conference on thin films (Colby College, Maine USA, July 2008).
Coulombier, Michaël ; Safi, A. ; Boé, A. ; Carbonnelle, Pierre ; Raskin, Jean-Pierre ; Pardoen, Thomas. A new on chip micro and nanolaboratory measuring the mechanical properties of ductile and brittle thin film materials. The 9th symposium on nano-mechanical Testing - Nanomech 9 (Hückelhoven, Germany,, du 09/09/2008 au 11/09/2008).
Pardoen, Thomas ; Safi, A. ; Coulombier, Michaël ; Carbonnelle, Pierre ; Raskin, Jean-Pierre ; Gravier, S.. A new on chip nanomechanical testing concept applied to brittle and ductile thin films materials. 2nd International Conference on Integration and Commercialization of Micro and Nanosystems 2008 (Clear Water Bay, Kowloon, Hong Kong, China, 3-5 June 2008). In: 2nd International Conference on Integration and Commercialization of Micro and Nanosystems 2008, Asme, 2008. 0-7918-4294-0, p. 259-267.
Pardoen, Thomas ; Raskin, Jean-Pierre ; Carbonnelle, Pierre. A new on chip nanomechanical testing concept applied to ductile and brittle thin film materials. First International Conference on Functional Nanocoatings (Budapest, Hungary, du 30/03/2008 au 02/04/2008). In: Proceedings of the First International Conference on Functional Nanocoatings, 2008, p. 62.
Safi, A. ; Houri, Samer ; Coulombier, Michaël ; Gravier, Sébastien ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. A new on chip nanomechanical testing concept applied to ductile and brittle thin film materials. "Surface Modification of Materials" Scientific Research Community of the F.W.O. - Mechanical Behavior of Materials in Small Volumes (Heverlee, Belgique, June 30, 2008).
Safi, A. ; Houri, Samer ; Coulombier, Michaël ; Gravier, S. ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. A new on chip nanomechanical testing concept applied to ductile and brittle thin film materials. EuroSimE 2008 International Conference on Thermal, Mechanical and Multi-Physics; Simulation and Experiments in Microelectronics and Micro-Systems (Freiburg im Breisgau, Germany, 20-23 April 2008). In: EuroSimE 2008 International Conference on Thermal, Mechanical and Multi-Physics; Simulation and Experiments in Microelectronics and Micro-Systems, IEEE, 2008. 978-1-4244-2127-5, p. 46.
Pardoen, Thomas ; Safi, Asmahan ; Houri, Samer ; Coulombier, Michaël ; Gravier, Sébastien ; André, Nicolas ; Carbonnelle, Pierre ; Raskin, Jean-Pierre. A new on chip nanomechanical testing method. Observatory for Micro & NanoTechnologies - Nanomechanics for NEMS: scientific and technological issues (Grenoble, France, du 26/06/2008 au 27/06/2008). In: Proceedings of the Observatory for Micro & NanoTechnologies - Nanomechanics for NEMS: scientific and technological issues, 2008.
Moreno Hagelsieb, Luis ; Flandre, Denis ; Raskin, Jean-Pierre. Anodic Aluminium Oxide Properties and its Interest for MEMS and DNA Sensors. Regional Electrochemistry Meeting of South-east Asia (Singapore, du 05/08/2008 au 07/08/2008). In: Proceedings of the Regional Electrochemistry Meeting of South-east Asia, 2008.
André, Nicolas ; Rue, Bertrand ; Raskin, Jean-Pierre ; Flandre, Denis. Artificial microbeams to sense air flow and temperature combining MEMS and CMOS technologies. Sensors and Sensing in Biology and Engineering (Cetraro (Calabria), Italy, du 12/10/2008 au 16/10/2008). In: Proceedings of the ), Sensors and Sensing in Biology and Engineering, 2008, p. 50.
André, Nicolas ; Rue, Bertrand ; Renaux, Christian ; Raskin, Jean-Pierre ; Flandre, Denis. Artificial microbeams to sense air flow, temperature or humidity combining MEMS and CMOS technologies. Sensors and Sensing in Biology and Engineering Conference, October 12 – 16, 2008 (Cetraro (Italy), du 12/10/2008 au 16/10/2008).
El Kaamouchi, Majid ; Dambrine, G. ; Si Moussa, M. ; Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Body-biasing control on zero-temperature-coefficient in partially depleted SOI MOSFET. 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (Orlando, FL, USA, 23-25 January 2008). In: 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, IEEE, 2008. 978-1-4244-1855-8, p. 114-117.
El Kaamouchi, Majid ; Emam, Mostafa ; Roda Neve, Cesar ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. CMOS technologies for RF applications in harsh environment. Proceedings of the Microwave Technology and Techniques Workshop 2008 - Innovation and Challenges (ESA/ESTEC) (Noordwijk, The Netherlands, du 06/05/2008 au 07/05/2008). In: Proceedings of the Microwave Technology and Techniques Workshop 2008 - Innovation and Challenges (ESA/ESTEC), 2008, p. 8 pages.
Roda Neve, C. ; Bol, David ; Ambroise, R. ; Flandre, Denis ; Raskin, Jean-Pierre. Comparison of Digital Substrate Noise in SOI and Bulk Si CMOS Technologies. 7th Workshop on Low-Voltage Low Power Design (Louvain-la-Neuve (Belgium), du 26/05/2008 au 28/05/2008).
Olbrechts, Benoît ; Rue, Bertrand ; Flandre, Denis ; Raskin, Jean-Pierre. Cross-Sensitivities of Ring Oscillators on Thin Dielectric Membrane for Pressure Sensing Applications. EUROSOI Conference (Cork (Ireland), du 23/01/2008 au 25/01/2008). In: Proceedings of the EUROSOI Conference, 2008, 73-74.
Olbrechts, Benoit ; Rue, Bertrand ; Flandre, Denis ; Raskin, Jean-Pierre. Cross-sensitivities of ring oscillators on thin dielectric membrane for pressure sensing applications. Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits (EUROSOI 2008) (Tyndall National Institute, Cork, Ireland, du 23/01/2008 au 25/01/2008). In: Proceedings of the EUROSOI - 2008, Fourth Workshop of the Thematic Network on Sil, 2008.
Tinoco, Julio ; Parvais, Bertrand ; Mercha, A. ; Decoutere, S. ; Raskin, Jean-Pierre. DC and RF characteristics of a 60 nm FinFET for a wide temperature range. Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’08 (Tyndall National Institute, Cork, Ireland, du 23/01/2008 au 25/01/2008). In: Proceedings of the Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’08, 2008, pp. 57-58.
El Kaamouchi, Majid ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Design d'un LNA avec protection ESD en technologie CMOS SOI 130 nm. FTFC 08 Conference (du 27/05/2008 au 28/05/2008). In: Proceedings of the FTFC 08 Conference, 2008, pp. 61-64.
Moreno Hagelsieb, Luis ; Pampin, Rémi ; Bulteel, Olivier ; Olbrechts, Benoit ; André, Nicolas ; Rue, Bertrand ; Raskin, Jean-Pierre ; Flandre, Denis. Development of Micro- and Nano- Bio- and Environmental SOI-Sensors. Workshop on MEMS and Nanotechnology through Science and Applications (UCL/Louvain-la-Neuve (Belgium), 18/03/2008). In: Proceedings of the Workshop on MEMS and Nanotechnology through Science and Applications, 2008.
Roda Neve, Cesar ; Bol, David ; Ambroise, Renaud ; Flandre, Denis ; Raskin, Jean-Pierre. Digital substrate noise reduction by low-power circuit operation and SOI technology. 7e journées d'étude Faible Tension Faible Consommation - FTFC 2008 (Louvain-la-Neuve, Belgium, du 26/05/2008 au 28/05/2008). In: Proceedings des 7e journées d'étude Faible Tension Faible Consommation, FTFC 2008, 2008, 23-28.
Lederer, Dimitri ; Roda Neve, Cesar ; Raskin, Jean-Pierre. Fabrication and characterization of High Resistivity SOI wafers for RF applications. 214th Meeting of The Electrochemical Society (ECS) - 10th International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications (Honolulu, Hawai, USA, du 12/10/2008 au 17/10/2008). In: Proceedings of the 214th Meeting of The Electrochemical Society (ECS) - 10th International Symposium on Semiconductor Wafer Bonding: Science, Technology and Applications, 2008, p. Paper 2173.
Moldovan, O. ; Lederer, Dimitri ; Iniguez, B. ; Raskin, Jean-Pierre. Finite element simulations of parasitic capacitances related to multiple-gate field-effect transistors architectures. IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF 2008 (Orlando, Florida, USA, du 23/01/2008 au 25/01/2008). In: Proceedings of the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems - SiRF 2008, IEEE, 2008. 978-1-4244-1855-8, pp. 183-186. doi:10.1109/SMIC.2008.52.
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Anil, K. ; Ida, J. ; Raskin, Jean-Pierre. High Temperature RF Behavior of SOI MOSFETs for Low-Power Low-Voltage Applications. IEEE International SOI Conference - SOI'2008 (Hudson River Valley, New York, USA, du 06/10/2008 au 09/10/2008). In: Proceedings of the IEEE International SOI Conference - SOI'2008 (SOI Conference, Proceedings of the IEEE International), IEEE Xplore: New-York, 2008. 978-1-4244-1954-8, p. 139.
Emam, Mostafa ; Vanhoenacker-Janvier, Danielle ; Anil, K. ; Ida, J. ; Raskin, Jean-Pierre. High temperature RF behavior of SOI MOSFETs for low-power low-voltage applications. 2008 IEEE International SOI Conference (New Paltz, NY, USA, 6-9 October 2008). In: 2008 IEEE International SOI Conference, IEEE, 2008. 978-1-4244-1954-8, p. 139-140. doi:10.1109/SOI.2008.4656333.
Emam, Mostafa ; Tinoco, J. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. High-temperature DC and RF behaviors of partially-depleted SOI MOSFET transistors. EUROSOI - 2008, Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits (Tyndall National Institute, Cork, Ireland, du 23/01/2008 au 25/01/2008). In: Solid-State Electronics, Vol. 52, no. 12, p. 1924-1932 (2008). doi:10.1016/j.sse.2008.06.058.
Roda Neve, Cesar ; Lederer, Dimitri ; Pailloncy, G. ; Kerr, D.C. ; Gering, J.M. ; McKay, T.G. ; Carroll, M.S. ; Raskin, Jean-Pierre. Impact of Si substrate resistivity on the non-linear behaviour of RF CPW transmission lines. 2008 European Microwave Integrated Circuit Conference (EuMIC) (Amsterdam, Netherlands, 27-31 October 2008). In: 2008 European Microwave Integrated Circuit Conference (EuMIC), IEEE, 2008. 978-2-87487-007-1, p. 36-39. doi:10.1109/EMICC.2008.4772222.
Stiens, Johan ; Zhang, Lei ; Elhawil, Amna ; Poesen, G. ; Jaeger, I. ; Van Kempen, Louis ; Salhi, Hakim ; Nauwelaers, B. ; Schreurs, Dominique ; Olyslager, F. ; Franchois, A. ; De Zutter, Daniel ; De Raedt, W. ; Carchon, G. ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Vounckx, Roger. Intelligent hyper-spectral mm-wave illumination techniques for feature extraction of hidden objects in indoor security applications: a fusion of photonics, electronics and electromagnetism. 2008 International Topical Meeting on Microwave Photonics /Asia-Pacific Microwave Photonics Conference - MWP/APMP 2008 (Gold Coast, Australia, du 01/10/2008 au 03/10/2008). In: Proceedings of the 2008 International Topical Meeting on Microwave Photonics /Asia-Pacific Microwave Photonics Conference - MWP/APMP 2008, 2008.
Doria, Rodrigo Trevisoli ; Cerdeira, Antonio ; Raskin, Jean-Pierre ; Flandre, Denis ; Pavanello, Marcelo Antonio. Linearity Analysis in Double Gate Graded-Channel SOI Devices Applied to 2-MOS MOSFET-C Balanced Structures. 23rd Symposium on Microelectronics Technology and Devices (SBMicro 2008) (Gramado (Brazil), du 01/09/2008 au 04/09/2008). In: Proceedings of SBMICRO 2008, the 23rd Symposium on Microelectronics Technology and Devices, 2008, 273-282.
Boé, A. ; Safi, A. ; Coulombier, Michaël ; Pardoen, Thomas ; Raskin, Jean-Pierre. MEMS microstructures for the nanomechanical characterization of thin films. International Conference on Multifunctional Materials and Structures (Hong-Kong, Chine, du 28/07/2008 au 31/07/2008). In: Proceedings of the International Conference on Multifunctional Materials and Structures, 2008, p. paper # MF382.
Ackaert, J. ; Charavel, Rémy ; Dhondt, K. ; Vlachakis, B. ; De Schepper, L. ; Millecam, M. ; Vandevelde, E. ; Bogaert, Patrick ; Iline, A. ; De Backer, Emile ; Vlad, Alexandru ; Raskin, Jean-Pierre. MIMC reliability and electrical behavior defined by a physical layer property of the dielectric. 19th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (Maastricht(Netherlands), Sep 29-oct 02, 2008). In: Microelectronics Reliability, Vol. 48, no. 8-9, p. 1553-1556 (2008). doi:10.1016/j.microrel.2008.06.043.
Moreno Hagelsieb, Luis ; Flandre, Denis ; Raskin, Jean-Pierre. Mechanical properties of anodic aluminum oxide for microelectromechanical system applications. 15th Workshop on Dielectrics in Microelectronics (WoDiM 2008) (Bad Saarow (Germany), du 23/06/2008 au 25/06/2008). In: Journal of Vacuum Science and Technology. Part B. Microelectronics and Nanometer Structures, Vol. 27, no. 1, p. 542-546 (2009). In: Proceedings of the 15th Workshop on Dielectrics in Microelectronics (WoDiM 2008), A V S Amer Inst Physics: Melville, 2008.
Roda Neve, Cesar ; Poesen, G. ; Schreurs, D. ; Raskin, Jean-Pierre ; Stiens, J. ; Vounckx, R.. Microwave characterization of optically modulated photo-induced switches with a passivation layer using an LSNA. 2008 72nd ARFTG Microwave Measurement Symposium (Portland, OR, USA, 9-12 December 2008). In: 2008 72nd ARFTG Microwave Measurement Symposium, IEEE, 2008. 978-1-4244-2300-2, p. 58-63. doi:10.1109/ARFTG.2008.4804288.
Houri, Samer ; Francis, Laurent ; Raskin, Jean-Pierre. On-wafer stress tuning of MEMS resonators. 19th MicroMechanics Europe Workshop - MME’08 (Aachen (Germany), du 28/09/2008 au 30/09/2008). In: Proceedings of the 19th MicroMechanics Europe Workshop - MME’08, 2008, pp. 287-290.
Kranti, A. ; Raskin, Jean-Pierre ; Armstrong, G.A.. Optimizing FinFET Geometry and Parasitics for RF Applications. IEEE International SOI Conference, SOI’2008 (Hudson River Valley, New York, USA, du 06/10/2008 au 09/10/2008). In: Proceedings of the IEEE International SOI Conference, SOI’2008, 2008, pp. 123-124.
Ivanov, P. ; Gracia, I. ; Blanco, F. ; Raskin, Jean-Pierre ; Cumeras, R. ; Sabate, N. ; Vilanova, X. ; Correig, X. ; Fonseca, L. ; Figueras, E. ; Santander, J. ; Cane, C.. Preconcentrator-based sensor mu -system for low-level benzene detection. Smart Structures, Devices, and Systems IV (Melbourne, VIC, Australia, 10 December 2008). In: Smart Structures, Devices, and Systems IV, Spie - the international society for optical engineering, 2008, Vol. 7268, 72680S (8 pp.). doi:10.1117/12.807433.
Houri, Samer ; Emam, Mostafa ; Raskin, Jean-Pierre. RF behavior of strained Fully Depleted SOI MOSFETs. Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’08 (Cork, Ireland, du 23/01/2008 au 25/01/2008). In: Proceedings of the Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’08, 2008, pp. 55-56.
Tinoco, J.C. ; Raskin, Jean-Pierre. RF extraction techniques for series resistances of MOSFETs. MOS-AK Workshop – MOS modeling and parameter extraction working group (Eindhoven, The Netherlands, 04/04/2008). In: Proceedings of the MOS-AK Workshop – MOS modeling and parameter extraction working group, 2008, p. Paper 9.
Tinoco, J.C. ; Raskin, Jean-Pierre. RF-extraction methods for MOSFET series resistances: a fair comparison. ICCDCS '08. 7th 2008 International Caribbean Conference on Devices, Circuits and Systems (Cancun, Mexico, 28-30 April 2008). In: ICCDCS '08. 7th 2008 International Caribbean Conference on Devices, Circuits and Systems, IEEE, 2008. 978-1-4244-1956-2, 6 pp..
Tinoco, J.C. ; Raskin, Jean-Pierre. Revised RF extraction methods for deep submicron MOSFETs. 2008 European Microwave Integrated Circuit Conference (EuMIC) (Amsterdam, Netherlands, 27-31 October 2008). In: 2008 European Microwave Integrated Circuit Conference (EuMIC), IEEE, 2008. 978-2-87487-007-1, p. 127-130. doi:10.1109/EMICC.2008.4772245.
Moreno Hagelsieb, Luis ; André, Nicolas ; Kezai, Tahar ; Bulteel, Olivier ; Druart, Sylvain ; Pampin, Rémi ; Rue, Bertrand ; Olbrechts, Benoit ; Raskin, Jean-Pierre ; Flandre, Denis. SOI Sensors Advantages for Consumer and Health Monitoring Applications. Commercialization of Micro and Nano Systems Conference (Mexico, du 31/08/2008 au 04/09/2008). In: Proceedings of the Commercialization of Micro and Nano Systems Conference, 2008.
Passi, Vikram ; Lecestre, A. ; Dubois, E. ; Raskin, Jean-Pierre. Selective etching of implanted silicon-dioxide in hydrofluoric acid. 34th International Conference on Micro- and Nano-Engineering - MNE 2008 (Athens, Greece, du 15/09/2008 au 18/09/2008). In: Proceedings of the 34th International Conference on Micro- and Nano-Engineering - MNE 2008, 2008, p. 455 - Paper FAB-P32.
Raskin, Jean-Pierre. Si Nanowires: challenges and prospects. 11th Session Nanoscale CMOS and Si-based Beyond CMOS Nanodevices - MIGAS’08 (Grenoble, France, du 28/06/2008 au 04/07/2008). In: Proceedings of the 11th Session Nanoscale CMOS and Si-based Beyond CMOS Nanodevices - MIGAS’08, 2008, p. Paper 14.
Dutu, C. A. ; Vlad, A. ; Gence, L. ; Raskin, Jean-Pierre ; Melinte, Sorin. Silicon Nanowires for Biosensors. 9th International Balkan Workshop on Applied Physics – IBWAP’08 (Constanta (Romania), du 07/07/2008 au 09/07/2008).
Balestra, F. ; Parker, E. ; Mantl, S. ; Dubois, E. ; Engstrom, O. ; Clerc, R. ; Cristoloveanu, S. ; Kurz, H. ; Raskin, Jean-Pierre. Silicon-based nanostructures and nanodevices for long-term nanoelectronics applications. European Materials Research Society – E-MRS 2008 Spring Meeting (Strasbourg, France, du 26/05/2008 au 30/05/2008). In: Proceedings of the European Materials Research Society – E-MRS 2008 Spring Meeting, 2008, p. Paper 14.
Raskin, Jean-Pierre. Silicon-on-Insulator MEMS sensors. NATO-RTO meeting - AVT 160 Symposium on Data Gathering, Sensors and Integration of Sensors (Montreal, QC, Canada, du 13/10/2008 au 17/10/2008). In: Proceedings of the NATO-RTO meeting - AVT 160 Symposium on Data Gathering, Sensors and Integration of Sensors, 2008, p. Paper 2.
Wang, B. ; Safi, A. ; Pardoen, Thomas ; Boé, A. ; Raskin, Jean-Pierre ; Wang, X ; Vlassak, Joost ; Schryvers, D.. TEM study of the NiTi shape memory thin film. 14th European Microscoyp Congress EMC'08 (Aachen, Germany, du 01/09/2008 au 05/09/2008). In: Proceedings of the 14th European Microscoyp Congress EMC'08, 2008, p. paper # P659.
Roda Neve, Cesar ; Lederer, Dimitri ; Raskin, Jean-Pierre. Temperature and Bias Dependent Performance of Coplanar Waveguide on High Resistivity Silicon Substrate with Passivation Layer. Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’08 (Cork, Ireland, du 23/01/2008 au 25/01/2008). In: Proceedings of the Fourth Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’08, 2008, pp. 121-122.
Sobieski, Stanislas ; André, Nicolas ; Francis, Laurent ; Raskin, Jean-Pierre. Temperature effect on Lorentz based magnetometer. European Magnetic Sensors & Actuators Conference - EMSA 2008 (Caen (France), du 30/06/2008 au 02/07/2008). In: Proceedings of the European Magnetic Sensors & Actuators Conference - EMSA 2008, 2008, p. 138.
Kerr, D. C. ; Gering, J. M. ; McKay, T. ; Carroll, S. ; Roda Neve, C. ; Raskin, Jean-Pierre. The effect of a SiO2 interface on RF harmonic distortion in CPW lines on silicon or passivated silicon. The 8th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems – SiRF’08 (Orlando, FL (USA), du 23/01/2008 au 25/01/2008). doi:10.1109/SMIC.2008.44.
Houri, Samer ; Francis, Laurent ; Raskin, Jean-Pierre. Wide frequency tuning range of MEMS resonators through on-wafer uniaxial stress. 34th International Conference on Micro- and Nano-Engineering - MNE 2008 (Athens (Greece), du 15/09/2008 au 18/09/2008). In: Proceedings of the 34th International Conference on Micro- and Nano-Engineering - MNE 2008, 2008, p. 366 - Paper MEMS3-P15.
Kezai, Tahar ; André, Nicolas ; Gérard, Pierre ; Drochmans, Philippe ; Druart, Sylvain ; Moreno Hagelsieb, Luis ; Flandre, Denis ; Raskin, Jean-Pierre. Wireless Sensor Network For Breathing Activity Monitoring. SR05 Satellite Conference - Topics in Electrical Circuits and Systems (Fez (Marocco), du 26/10/2008 au 27/10/2008). In: Proceedings of the SR05 Satellite Conference - Topics in Electrical Circuits and Systems, 2008.
André, N. ; Gérard, Pierre ; Drochmans, P. ; Kezai, T. ; Druart, S. ; Moreno-Hagelsieb, L. ; Flandre, Denis ; Raskin, Jean-Pierre. Wireless microsensors system for monitoring breathing activity. European Medical and Biological Engineering Congress – Engineering for Health – EMBEC’08 (Antwerp (Belgium), du 23/11/2008 au 27/11/2008).
Pardoen, Thomas ; Raskin, Jean-Pierre ; Carbonnelle, Pierre ; Gravier , Sébastien. Imposing and determining stress in sub-micron samples . Numéro de priorité ; Déposé (20080214) ; Publié (20080821). AE, AG, AL, AM, AO, AT, AU, AZ, BA, BB, BG, BH, BR, BW, BY, BZ, CA, CH, CN, CO, CR, CU, CZ, DE, DK, DM, DO, DZ, EC, EE, EG, ES, FI, GB, GD, GE, GH, GM, GT, HN, HR, HU, ID, IL, IN, IS, JP, KE, KG, KM, KN, KP, KR, KZ, LA, LC, LK, LR, LS, LT, LU, LY, MA, MD, ME, MG, MK, MN, MW, MX, MY, MZ, NA, NG, NI, NO, NZ, OM, PG, PH, PL, PT, RO, RS, RU, SC, SD, SE, SG, SK, SL, SM, SV, SY, TJ, TM, TN, TR, TT, TZ, UA, UG, US, UZ, VC, VN, ZA, ZM, ZW.|African Regional Intellectual Property Org. (ARIPO) (BW, GH, GM, KE, LS, MW, MZ, NA, SD, SL, SZ, TZ, UG, ZM, ZW)|Eurasian Patent Organization (EAPO) (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM)|European Patent Office (EPO) (AT, BE, BG, CH, CY, CZ, DE, DK, EE, ES, FI, FR, GB, GR, HR, HU, IE, IS, IT, LT, LU, LV, MC, MT, NL, NO, PL, PT, RO, SE, SI, SK, TR)|African Intellectual Property Organization (OAPI) (BF, BJ, CF, CG, CI, CM, GA, GN, GQ, GW, ML, MR, NE, SN, TD, TG). AE, AG, AL, AM, AO, AT, AU, AZ, BA, BB, BG, BH, BR, BW, BY, BZ, CA, CH, CN, CO, CR, CU, CZ, DE, DK, DM, DO, DZ, EC, EE, EG, ES, FI, GB, GD, GE, GH, GM, GT, HN, HR, HU, ID, IL, IN, IS, JP, KE, KG, KM, KN, KP, KR, KZ, LA, LC, LK, LR, LS, LT, LU, LY, MA, MD, ME, MG, MK, MN, MW, MX, MY, MZ, NA, NG, NI, NO, NZ, OM, PG, PH, PL, PT, RO, RS, RU, SC, SD, SE, SG, SK, SL, SM, SV, SY, TJ, TM, TN, TR, TT, TZ, UA, UG, US, UZ, VC, VN, ZA, ZM, ZW.|African Regional Intellectual Property Org. (ARIPO) (BW, GH, GM, KE, LS, MW, MZ, NA, SD, SL, SZ, TZ, UG, ZM, ZW)|Eurasian Patent Organization (EAPO) (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM)|European Patent Office (EPO) (AT, BE, BG, CH, CY, CZ, DE, DK, EE, ES, FI, FR, GB, GR, HR, HU, IE, IS, IT, LT, LU, LV, MC, MT, NL, NO, PL, PT, RO, SE, SI, SK, TR)|African Intellectual Property Organization (OAPI) (BF, BJ, CF, CG, CI, CM, GA, GN, GQ, GW, ML, MR, NE, SN, TD, TG)..
El Kaamouchi, Majid ; Si Moussa, Mehdi ; Delatte, Pierre ; Wybo, G. ; Bens, A. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 55, no.12, pp. 2822-2831 (December 2007). doi:10.1109/TMTT.2007.909148.
Doria, R.T. ; Pavanello, M.A. ; Cerdeira, A. ; Raskin, Jean-Pierre ; Flandre, Denis. Application of Double Gate Graded-Channel SOI in MOSFET-C Balanced Structures. In: Electrochimical Society Transactions, Vol. 6, no. 4, p. 217-222 (April 2007).
Raskin, Jean-Pierre ; Flandre, Denis ; Iker, François ; André, Nicolas ; Olbrechts, Benoit ; Pardoen, Thomas. Bulk and surface micromachined MEMS in thin film SOI technology. In: Electrochimica Acta, Vol. 52, no. 8, p. 2850-2861 (2007). doi:10.1016/j.electacta.2006.09.021.
Olbrechts, Benoit ; Rue, Bertrand ; Suski, J. ; Flandre, Denis ; Raskin, Jean-Pierre. Characterization of FD SOI devices and VCO's on ONO membranes under pressure. In: Solid-State Electronics, Vol. 51, no. 9, p. 1229-1237 (2007). doi:10.1016/j.sse.2007.07.026.
Lederer, Dimitri ; Raskin, Jean-Pierre. Characterization of the body node in PD SOI MOSFETs using multiport VNA measurements. In: IEEE Transactions on Electron Devices, Vol. 54, no. 11, p. 3030-3039 (2007). doi:10.1109/TED.2007.907188.
Gluszko, G. ; Lukasiak, L. ; Kilchytska, Valeriya ; Chung, Tsung Ming ; Olbrechts, Benoit ; Flandre, Denis ; Raskin, Jean-Pierre. Charge-pumping characterization of SOI devices fabricated by means of wafer bonding over pre-patterned cavities. In: Journal of Telecommunications and Information Technology, Vol. 3, p. 61-66 (2007).
Moldovan, Oana ; Cerdeira, Antonio ; Jimenez, David ; Raskin, Jean-Pierre ; Kilchytska, Valeria ; Flandre, Denis ; Collaert, Nadine ; Iniguez, Benjamin. Compact model for highly-doped double-gate SOI MOSFETs targeting baseband analog applications. In: Solid-State Electronics, Vol. 51, no. 5, p. 655-661 (2007). doi:10.1016/j.sse.2007.02.039.
Farhi, G. ; Saracco, E. ; Beerens, J. ; Morris, D. ; Charlebois, S. A. ; Raskin, Jean-Pierre. Electrical Characteristics and Simulation of Self-Switching-Diodes in SOI. In: Solid-State Electronics, Vol. 51, p. 1245-1249 (2007).
Moreno Hagelsieb, Luis ; Laurent, Géry ; Pampin, Rémi ; Foultier, Boris ; Remacle, Jean-François ; Raskin, Jean-Pierre ; Flandre, Denis. Electrical detection of DNA hybridization : three extraction techniques based on interdigitated Al/Al2O3 capacitors. In: Biosensors and Bioelectronics, Elsevier Science, Pergamon, Vol. 22, no. 9-10, p. 2199-2207 (2007).
Kilchytska, Valeria ; Pailloncy, Guillaume ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Collaert, Nadine ; Jurczak, Malgorzata ; Flandre, Denis. Frequency variation of the small-signal output conductance of decananometer MOSFETs due to substrate crosstalk. In: IEEE Electron Device Letters, Vol. 28, no. 5, p. 419-421 (2007). doi:10.1109/LED.2007.895374.
Raskin, Jean-Pierre ; IKER, F. ; André, Nicolas ; Fabrègue, Damien ; Coulombier, Michaël ; Pardoen, Thomas. From Nanoelectronics towards Nanoelectromechanical Systems. In: Revue E Tijdschrift, Vol. 1, no. mars/avril 2007, p. 26-33 (2007).
Simoen, E. ; Claeys, C. ; Chung, Tsung Ming ; Flandre, Denis ; Raskin, Jean-Pierre ; Pavanello, M.A. ; Martino, J.A.. Low-frequency noise behavior of graded-channel SOI n-MOSFETs. In: Solid-State Electronics, Special issue, Vol. 51, no. 2, p. 260-267 (2007).
André, Nicolas ; Coulombier, Michaël ; De Longueville, V. ; Fabregue, D. ; Gets, T. ; Gravier, S. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Microfabrication-based nanomechanical laboratory for testing the ductility of submicron aluminium films. In: Microelectronic Engineering, Vol. 84, no. 11, p. 2714-2718 (2007). doi:10.1016/j.mee.2007.05.039.
Bertholet, Y. ; Olbrechts, Benoit ; Lejeune, B. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Molecular bonding aided by dissipative inter-layers. In: Acta Materialia, Vol. 55, no. 2, p. 473-479 (2007). doi:10.1016/j.actamat.2006.08.036.
Fabregue, D. ; André, Nicolas ; Coulombier, Michaël ; Raskin, Jean-Pierre ; Pardoen, Thomas. Multipurpose nanomechanical testing machines revealing the size-dependent strength and high ductility of pure aluminium submicron films. In: Micro & Nano Letters, Vol. 2, no. 1, p. 13-16 (2007). doi:10.1049/mnl:20065068.
Simoen, Eddy ; Flandre, Denis ; Claeys, C. ; Chung, T. M. ; Raskin, Jean-Pierre. On the origin of the excess low-frequency noise in graded-channel silicon-on-insulator nMOSFETs. In: IEEE Electron Device Letters, Vol. 28, no. 10, p. 919-921 (2007). doi:10.1109/LED.2007.905958.
Kilchytska, Valeriya ; Pailloncy, Guillaume ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Collaert, N. ; Jurczak, M. ; Flandre, Denis. On the substrate-related variation of the small-signal output conductance in advanced MOSFETs. In: IEEE Electron Device Letters, p. 419-421 (2007).
Lederer, Dimitri ; Raskin, Jean-Pierre. On-wafer wideband electrical characterization : a powerful tool for improving the IC technologies. In: Journal of Telecommunications and Information Technology, , no. 2, p. 69-77 (February 2007).
Chung, Tsung Ming ; Olbrechts, Benoit ; Flandre, Denis ; Södervall, U. ; Bengtsson, S. ; Raskin, Jean-Pierre. Planar Double-Gate SOI MOS devices by wafer bonding over pre-patterned cavities. In: Solid-State Electronics, Vol. 51, no. 2, p. 231-238 (2007). doi:10.1016/j.sse.2007.01.017.
Pearman, Dominic J. ; Pailloncy, Guillaume ; Raskin, Jean-Pierre ; Larson, John M. ; Snyder, John P. ; Parker, Evan H. C. ; Whall, Terence E.. Static and high-frequency behavior and performance of Schottky-barrier, p-MOSFET devices. In: IEEE Transactions on Electron Devices, Vol. 54, no. 10, p. 2796-2802 (2007). doi:10.1109/TED.2007.904985.
Simoen, E. ; Claeys, C. ; Chung, Tsung Ming ; Flandre, Denis ; Raskin, Jean-Pierre. The Length-Dependence of the 1/f Noise of Graded-Channel SOI nMOSFETs. In: ECS Transactions, Vol. 9, no. 1, p. 373-381 (September). doi:10.1149/1.2766908.
Kilchytska, Valeriya ; Chung, T. M. ; Vovk, Y. ; Raskin, Jean-Pierre ; Flandre, Denis. True Silicon-on-Nothing MOSFETs fabricated by Si layer transfer over a pre-etched cavity. In: Solid-State Electronics, Vol. 51, p. 1238-1244 (2007).
Raskin, Jean-Pierre. Wideband characterization of SOI materials and devices. In: Solid-State Electronics, Vol. 51, p. 1161-1171 (2007).
Kilchytska, Valeriya ; Levacq, David ; Lederer, Dimitri ; Pailloncy, Guillaume ; Raskin, Jean-Pierre ; Flandre, Denis. Substrate effect on the output conductance frequency response of SOI MOSFETs (inited paper). In: S. Hall, A.N. Nazarov, V.S. Lysenko (eds), Nanoscaled Semiconductor-on-Insulator Structures and Devices, Kluwer Academic Publishers, 2007, 221-238. 978-1-4020-6379-4.
Strepenne, François ; Bertholet, Yannick ; Olbrechts, Benoit ; Raskin, Jean-Pierre ; Pardoen, Thomas. A new variant of the wedge opening test method with inverse identification of interface toughness. JADH 07 - 14e Journées d'Etude sur l'Adhésion (Biarritz (France), du 24/09/2007 au 28/09/2007). In: Proceedings, 2007, p. 131-134.
Pavanello, M.A. ; Cerdeira, A. ; Raskin, Jean-Pierre ; Flandre, Denis. Application of Double Gate Graded-Channel SOI in MOSFET-C Balanced Structures. 211th Meeting of the Electrochemical Society – ECS’07 (Chicago, Illinois (USA), du 06/05/2007 au 11/05/2007). In: Proceedings of the 211th Meeting of the Electrochemical Society – ECS’07, 2007, Paper 734.
El Ghorba, Mehdi ; André, Nicolas ; Sobieski, Stanislas ; Raskin, Jean-Pierre. CMOS Compatible Out-of-Plane & In-Plane Integrated Magnetometer. Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS - DTIP 2007 (Stresa, Lago Maggiore, Italy, du 25/04/2007 au 27/04/2007). In: Proceedings of the Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS - DTIP 2007, 2007, pp. 221-224.
André, Nicolas ; Iker, François ; Raskin, Jean-Pierre. CMOS compatible 3-D MEMS in SOI technology. Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’07 (Leuven, Belgium, du 24/01/2007 au 26/01/2007). In: Proceedings of the Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’07, 2007, pp. 69-70.
El Ghorba, M. ; André, Nicolas ; Sobieski, Stanislas ; Raskin, Jean-Pierre. CMOS compatible out-of-plane & in-plane magnetometers. TRANSDUCERS '07 & Eurosensors XXI. 2007 14th International Conference on Solid-State Sensors, Actuators and Microsystems (Lyon, France, 10-14 June 2007). In: TRANSDUCERS '07 & Eurosensors XXI. 2007 14th International Conferenceon Solid-State Sensors, Actuators and Microsystems, IEEE, 2007. 1-4244-0841-5, p. 2373-2376.
André, Nicolas ; Sobieski, Stanislas ; Flandre, Denis ; Raskin, Jean-Pierre. Capteurs MEMS tridimensionnels en technologie Silicium. Pôle de compétitivité MECATECH – Journée de Rencontre Entreprises-Laboratoires (Louvain-la-Neuve, Belgium, 14/06/2007). In: Pôle de compétitivité MECATECH – Journée de Rencontre Entreprises-Laboratoires, 2007.
Gluszko, G. ; Lukasiak, L. ; Szostak, S. ; Raskin, Jean-Pierre ; Olbrechts, B. ; Gottlob, H. ; Lemme, M. C. ; Gili, E. ; Ashburn, P. ; Korwin-Pawlowski, M. L. ; Jakubowski, A.. Charge-pumping characterization of SOI and vertical MOS structures. 13th Canadian Semiconductor Technology Conference – CSTC’07 (Montreal (Canada), du 14/08/2007 au 17/08/2007).
Norling, M. ; Kuylenstierna, D. ; Vorobiev, A. ; Reimann, K. ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Gevorgian, S.. Comparison of High-Resistivity Silicon Surface Passivation Methods. European Microwave Integrated Circuit Conference - EuMIC 2007 (Munich, Germany, du 08/10/2007 au 12/10/2007). In: Proceedings of the European Microwave Integrated Circuit Conference - EuMIC 2007, IEEE, 2007. 978-2-87487-002-6, pp. 215-218. doi:10.1109/EMICC.2007.4412687.
Emam, Mostafa ; El Kaamouchi, Majid ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Conception d’un commutateur d’antenne en technologie Silicium-sur-Isolant 130 nm. Journées Nationales du Réseau Doctoral en Microélectronique - JNRDM’07 (Lille, France, du 14/05/2007 au 16/05/2007). In: Proceedings des Journées Nationales du Réseau Doctoral en Microélectronique - JNRDM’07, 2007, p. 37.
Si Moussa, Mehdi ; Pavageau, C. ; Picheta, L. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Design of a 23 GHz Low Noise Amplifier in 130 nm SOI CMOS Technology. Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’07 (Leuven, Belgium, du 24/01/2007 au 26/01/2007). In: Proceedings of the Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’07, 2007, pp. 72-73.
Moreno Hagelsieb, Luis ; Pampin, Rémi ; Bulteel, Olivier ; Olbrechts, Benoit ; André, Nicolas ; Rue, Bertrand ; Raskin, Jean-Pierre ; Flandre, Denis. Development of New Micro- and Nano- Bio- and Environmental SOI-Sensors in UCL. Scientific workshop: Microsystems as a Platform for Integrating Micro/Nano/Biotechnologies (Bucharest, Romania, du 23/05/2007 au 25/05/2007). In: Proceedings of the Scientific workshop: Microsystems as a Platform for Integrating Micro/Nano/Biotechnologies, 2007, Session III, paper 5.
Farhi, G. ; Saracco, E. ; Beerens, J. ; Morris, D. ; Charlebois, S. A. ; Raskin, Jean-Pierre. Effect of surface states on the electrical properties of Self-Switching Diodes Based on SOI. 13th Canadian Semiconductor Technology Conference – CSTC’07 (Montreal (Canada), du 14/08/2007 au 17/08/2007).
Farhi, G. ; Saracco, E. ; Beerens, J. ; Morris, D. ; Charlebois, S. A. ; Raskin, Jean-Pierre. Electrical characteristics and simulations of self-switching-diodes in SOI technology. 3rd Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Cirucits (EUROSOI 07) (Leuven(Belgium), Jan 24-26, 2007). In: Solid-State Electronics, Vol. 51, no. 9, p. 1245-1249 (2007). doi:10.1016/j.sse.2007.07.013.
Kilchytska, Valeriya ; Flandre, Denis ; Chung, T. M. ; Olbrechts, Benoit ; Vovk, Ya. ; Raskin, Jean-Pierre. Electrical characterization of true Silicon-On-Nothing MOSFETs fabricated by Si layer transfer over a pre-etched cavity. 3rd Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Cirucits (EUROSOI 07) (Leuven (Belgium), Jan 24-26, 2007). In: Solid-State Electronics, Vol. 51, no. 9, p. 1238-1244 (2007). In: Proceedings of the 3rd Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Cirucits (EUROSOI 07), Pergamon-elsevier Science Ltd: Oxford, 2007. doi:10.1016/j.sse.2007.07.021.
Moreno Hagelsieb, Luis ; Flandre, Denis ; Foultier, B. ; Laurent, G. ; Pampin, Rémi ; Remacle, Jean-François ; Raskin, Jean-Pierre. Electrical detection of DNA hybridization: Three extraction techniques based on interdigitated Al/Al2O3 capacitors. 9th World Congress on Biosensors (Toronto (Canada), May 10-12, 2006). In: Biosensors and Bioelectronics, Vol. 22, no. 9-10, p. 2199-2207 (2007). In: Proceedings of the 9th World Congress on Biosensors, Elsevier Advanced Technology: Oxford, 2007. doi:10.1016/j.bios.2006.10.024.
Cornet, Alain ; Raskin, Jean-Pierre ; Antoine, Philippe. High precision interferometric measurements with broad spectral sources : application for MEMS profilometry. 3rd Workshop on Optical Measurements Techniques OPTIMESS (Leuven, du 28 mai au 30 mai 2007).
Cornet, Alain ; Antoine, Philippe ; Raskin, Jean-Pierre. High precision interferometric measurements with broad spectral sources : applications for MEMS pofilometry. 3rd Workshop on Optical Measurements Techniques OPTIMESS (Leuven, May 2007).
Emam, Mostafa ; El Kaamouchi, Majid ; Si Moussa, Mehdi ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. High temperature antenna switches in 130 nm SOI technology. 2007 IEEE International SOI Conference (Indian Wells, CA, USA, 1-4 October 2007). In: Proccedings 2007 IEEE International SOI Conference, IEEE, 2007. 1-4244-0879-2, p. 121-122.
Valentin, R. ; Dubois, E. ; Raskin, Jean-Pierre ; Dambrine, G. ; Larrieu, G. ; Breil, N. ; Danneville, F.. Investigation of high frequency performances for Schottky-Barrier p-MOSFET. 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (Long Beach, CA, U2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF SystemsSA, du 10/01/2007 au 12/01/2007). In: Proceedings of the 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, 2007. 0-7803-9765-7, pp. 32-35. doi:10.1109/SMIC.2007.322762.
Pardoen, Thomas ; Raskin, Jean-Pierre. La technologie MEMS au service de l’extraction des propriétés mécaniques des matériaux aux échelles micro et nano. ISTM Seminar - Maîtrise et caractérisation locale de microstructures dans les lignes d’interconnexions cuivre (Grenoble, France, 18/01/2007). In: Proceedings of the ISTM Seminar - Maîtrise et caractérisation locale de microstructures dans les lignes d’interconnexions cuivr, 2007.
Larrieu, G. ; Dubois, E. ; Valentin, R. ; Breil, N. ; Danneville, F. ; Dambrinne, G. ; Raskin, Jean-Pierre ; Pesant, J-C.. Low Temperature Implementation of Dopant-Segregated Band-edge Metallic S/D junctions in Thin-Body SOI p-MOSFETs. IEEE International Electron Devices Meeting - IEDM 2007 (Washington, DC, USA, du 10/12/2007 au 12/12/2007). In: Proceedings of the IEEE International Electron Devices Meeting - IEDM 2007, IEEE, 2007. 978-1-4244-1507-6, pp. 147-150. doi:10.1109/IEDM.2007.4418886.
Raskin, Jean-Pierre ; Fabregue, D. ; André, Nicolas ; Coulombier, Michaël ; Pardoen, Thomas. Low complexity testing micromachines revealing size-dependent mechanical properties of thin Al films. TRANSDUCERS '07 & Eurosensors XXI. 2007 14th International Conference on Solid-State Sensors, Actuators and Microsystems (Lyon, France, 10-14 June 2007). In: TRANSDUCERS '07 & Eurosensors XXI. 2007 14th International Conference on Solid-State Sensors, Actuators and Microsystems, IEEE, 2007. 1-4244-0841-5, p. 619-622.
Dubois, E. ; Larrieu, G. ; Breil, N. ; Valentin, R. ; Danneville, E. ; Ostling, M. ; Hellström, P.E. ; Reckinger, Nicolas ; Tang, Xiaohui ; Raskin, Jean-Pierre. Metallic Source/Drain architecture: status and prospects. 37th European Solid-State Device Research Conference – ESSDERC 2007, SINANO Workshop entitled : Nanoscale CMOS and Beyond-CMOS Nanodevices (Munich (Germany), 14/09/2007). In: Proceedings of the 37th European Solid-State Device Research Conference – ESSDERC 2007, SINANO Workshop entitled : Nanoscale CMOS and Beyond-CMOS Nanodevices, 2007, p. Paper 2.
Coulombier, Michaël ; André, Nicolas ; Sobieski, Stanislas ; Houri, Samer ; Gravier, Sébastien ; Carbonnelle, Pierre ; Raskin, Jean-Pierre ; Pardoen, Thomas. Microlaboratoire « on chip » pour la mesure des propriétés mécaniques de films minces. Pôle de compétitivité MECATECH - Journée de Rencontre Entreprises-Laboratoires (Louvain-la-Neuve, Belgium, du 14/06/2007 au 14/06/2007). In: Proceedings de la journée de Rencontre Entreprises-Laboratoires, 2007.
Bertholet, Y. ; Olbrechts, Benoit ; Lejeune, B. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Molecular Bonding Aided by Dissipative Interlayers. 1st International Congress and Exhibition on Microreliability and Nanoreliability in Key Technology Applications - MicroNanoReliability 2007 (Berlin, Germany, du 02/09/2007 au 05/09/2007). In: Proceedings of the 1st International Congress and Exhibition on Microreliability and Nanoreliability in Key Technology Applications - MicroNanoReliability 2007, 2007, p. paper #3.
André, Nicolas ; Coulombier, Michaël ; De Longueville, Vincent ; Fabrègue, Damien ; Gets, T. ; Gravier, Sébastien ; Houri, Samer ; Safi, Asmahan ; Raskin, Jean-Pierre ; Pardoen, Thomas. Multipurpose on-chip nanomechanical laboratory for testing the size-dependent strength and ductility of submicron metallic films. International Union of Theoretical and Applied Mechanics (IUTAM) Symposium on Multi-Scale Plasticity of Crystalline Materials Organization (Eindhoven, The Netherlands, du 05/11/2007 au 09/11/2007). In: Proceedings of the International Union of Theoretical and Applied Mechanics (IUTAM) Symposium on Multi-Scale Plasticity of Crystalline Materials Organization, 2007, p. 16-17.
Pardoen, Thomas ; Raskin, Jean-Pierre ; Coulombier, Michaël ; Gravier, Sébastien ; André, Nicolas ; Safi, Asmahan ; Gets, T. ; De Longueville, Vincent ; Sobieski, Stanislas ; Houri, Samer. Multipurpose on-chip nanomechanical laboratory for testing thin films. 2007 Materials Research Society (MRS) Fall Meeting (Boston, MA, USA, du 26/11/2007 au 30/11/2007). In: Proceedings of the 2007 Materials Research Society (MRS) Fall Meeting, 2007, p. paper # DD1.3.
Coulombier, Michaël ; Gravier, Sébastien ; Pardoen, Thomas ; Fabrègue, Damien ; André, Nicolas ; Houri, Samer ; Raskin, Jean-Pierre. Multipurpose on-chip nanomechanical laboratory revealing the size-dependent strenght and high ductility of pure aluminium submicron films. European Congress and Exhibition on Advanced Materials and Processes (Nurenberg, Germany, du 10/09/2007 au 13/09/2007). In: Proceedings of the European Congress and Exhibition on Advanced Materials and Processes, 2007, p. paper # D21-553.
Pardoen, Thomas ; Fabrègue, Damien ; André, Nicolas ; Coulombier, Michaël ; Raskin, Jean-Pierre. Nanomechanical testing machines revealing size-dependent mechanical properties of thin metallic films. Materials and Structures for Advanced Micro and Nano-electronics - MAM 2007 (Bruges, Belgium, du 04/03/2007 au 07/03/2007). In: Proceedings , 2007, p. Session 5, paper #2.
Coulombier, Michaël ; Gravier, Sébastien ; Pardoen, Thomas ; Fabrègue, Damien ; André, Nicolas ; Houri, Samer ; Raskin, Jean-Pierre. New concept of multipurpose on-chip nanomechanical laboratory. 1st International Congress and Exhibition on Microreliability and Nanoreliability in Key Technology Applications - MicroNanoReliability 2007 (Berlin, Germany, du 02/09/2007 au 05/09/2007). In: Proceedings of the 1st International Congress and Exhibition on Microreliability and Nanoreliability in Key Technology Applications - MicroNanoReliability 2007, 2007.
Kilchytska, Valeriya ; Chung, Tsung Ming ; Olbrechts, Benoit ; Vovk, Ya.N. ; Flandre, Denis ; Raskin, Jean-Pierre. On true Silicon-on-Insulator MOSFETs: fabrication by Si layer transfer over the pre-defined cavity and electrical characterization. 5th International Symposium on Control of Semiconductor Interfaces for Next Generation ULSI Process Integrations - ISCSI-V (Tokyo (Japan), du 12/11/2007 au 14/11/2007). In: Proceedings of the 5th International Symposium on Control of Semiconductor Interfaces for Next Generation ULSI Process Integrations - ISCSI-V, 2007.
Roda Neve, Cesar ; Raskin, Jean-Pierre. Optical crosstalk reduction in optically controlled microwave circuits on HR-Si using a trap-rich passivation layer. The 12th Annual Symposium of the IEEE LEOS (Lasers and Electro-Optics Society), Benelux Chapter (Brussels, Belgium, du 17/12/2007 au 18/12/2007). In: Proceedings of the 12th Annual Symposium of the IEEE/LEOS Benelux chapter, 2007, pp. 251-254.
Roda Neve, Cesar ; Lederer, Dimitri ; Raskin, Jean-Pierre. Optical crosstalk reduction using a HR-Si substrate with trap-rich passivation layer. EuMC 2007. 37th European Microwave Conference (Munich, Germany, 9-12 October 2007). In: EuMC 2007 Proceedings. 37th European Microwave Conference, IEEE, 2007. 978-2-87487-001-9, p. 592-595.
Kuylenstierna, D. ; Norling, M. ; Vorobiev, A. ; Reimann, K. ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Gevorgian, S.. Performance of Coplanar waveguides on surface passivated highly resistive silicon covered by ferroelectric film. IEEE MTT-S International Microwave Symposium – IMS’07 (Honolulu, Hawaii, du 03/06/2007 au 08/06/2007). In: Proceedings of the ”, IEEE MTT-S International Microwave Symposium – IMS’07, 2007, pp. 2055-2058.
Emam, Mostafa ; El Kaamouchi, Majid ; Si Moussa, Mehdi ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. RF Antenna Switches Based on 130 nm Floating and Body-Tied SOI CMOS Technology. Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’07 (Leuven, Belgium, du 24/01/2007 au 26/01/2007). In: Proceedings of the Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’07, 2007, pp. 78-79.
Olbrechts, Benoit ; André, Nicolas ; Rue, Bertrand ; Flandre, Denis ; Raskin, Jean-Pierre. SOI co-integrated microsensors. 7th MEMUNITY - The MEMS Test Community - Workshop (Eindhoven, The Netherlands, du 23/10/2007 au 24/10/2007). In: Proceedings of the 7th MEMUNITY - The MEMS Test Community - Workshop, 2007, Paper 10.
Olbrechts, Benoit ; Rue, Bertrand ; Rinaldi, G. ; Stiharu, I. ; Flandre, Denis ; Raskin, Jean-Pierre. SOI devices and ring oscillators on thin dielectric membranes for pressure sensing applications. 2007 IEEE International SOI Conference (Indian Wells, CA, USA, 1-4 October 2007). In: Proccedings 2007 IEEE International SOI Conference, IEEE, 2007. 1-4244-0879-2, 109-110.
Raskin, Jean-Pierre ; Lemme, M. ; Dubois, E. ; Ionescu, A. ; Baron, T. ; Gentile, P. ; Colinge, Jean-Pierre. Si nanowires: challenges and prospects. 37th European Solid-State Device Research Conference – ESSDERC 2007, SINANO Workshop entitled : Nanoscale CMOS and Beyond-CMOS Nanodevices (Munich, Germany, 14/09/2007). In: Proceedings of the 37th European Solid-State Device Research Conference – ESSDERC 2007, SINANO Workshop entitled : Nanoscale CMOS and Beyond-CMOS Nanodevices, 2007, p. Paper 9.
Kilchytska, Valeriya ; Lederer, Dimitri ; Flandre, Denis ; Raskin, Jean-Pierre. Substrate Parasitic Coupling in SOI Devices: Engineering Solutions. 3rd International Workshop on New Group IV Semiconductor Nanoelectronics (Tohoku University, Sendai (Japan), du 08/11/2007 au 09/11/2007). In: Proceedings of the 3rd International Workshop on New Group IV Semiconductor Nanoelectronics, 2007.
Kilchytska, Valeriya ; Pailloncy, Guillaume ; Raskin, Jean-Pierre ; Collaert, N. ; Jurczak, Malgorzata ; Flandre, Denis. Substrate-related output conductance frequency response of FD SOI MOSFETs: influence of channel length and substrate temperature. 8th International Conference on Ultimate Integration on Silicon (ULIS 2007) (Leuven/Belgium, du 15/03/2007 au 16/03/2007). In: Proceedings of ULIS 2007, the 8th International Conference on Ultimate Integration on Silicon, 2007.
Simoen, E. ; Claeys, C. ; Chung, Tsung Ming ; Flandre, Denis ; Raskin, Jean-Pierre. The Length-Dependence of the 1/f Noise of Graded-Channel SOI nMOSFETs. 22nd Symposium on Microelectronics Technology and Devices - SBMicro'2007 (Rio de Janeiro, Brazil, du 03/09/2007 au 06/09/2007). In: Proceedings of the 22nd Symposium on Microelectronics Technology and Devices - SBMicro'2007, 2007, Session “Characterization and Modeling III”, paper # 2.
Simoen, E. ; Flandre, Denis ; Claeys, C. ; Chung, T. M. ; Pavanello, M. A. ; Martino, J. A. ; Raskin, Jean-Pierre. The low-frequency noise behaviour of graded-channel SOI nMOSFETs. 2nd Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Circuits (EUROSOI 06) (Grenoble (France), Mar 08-10, 2006). In: Solid-State Electronics, Vol. 51, no. 2, p. 260-267 (2007). In: Proceedings of the 2nd Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Circuits (EUROSOI 06), Pergamon-elsevier Science Ltd: Oxford, 2007. doi:10.1016/j.sse.2007.01.003.
Kilchytska, Valeriya ; Chung, M. ; Vovk, Ya.N. ; Raskin, Jean-Pierre ; Flandre, Denis. True Silicon-On-Nothing MOSFETs fabricated by Si layer transfer over a pre-etched cavity. Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits (EUROSOI 2007) (Leuven, Belgium, du 24/01/2007 au 26/01/2007). In: Proceedings of EUROSOI 2007, Third Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits, 2007.
Bol, David ; Ambroise, Renaud ; Roda Neve, Cesar ; Raskin, Jean-Pierre ; Flandre, Denis. Wide-band simulation and characterization of digital substrate noise in SOI technology. 2007 IEEE International SOI Conference (Indian Wells, CA, USA, 1-4 October 2007). In: Proccedings 2007 IEEE International SOI Conference, IEEE, 2007. 1-4244-0879-2, 133-134.
Raskin, Jean-Pierre. Wideband characterization of SOI materials and devices. 3rd Workshop of the Thematic-Network-on-Silicon-on-Insulator-Technology-Devices-and-Cirucits (EUROSOI 07) (Leuven(Belgium), Jan 24-26, 2007). In: Solid-State Electronics, Vol. 51, no. 9, p. 1161-1171 (2007). doi:10.1016/j.sse.2007.07.014.
El Kaamouchi, Majid ; Si Moussa, Mehdi ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Zero-temperature-coefficient biasing point of 2.4-GHz LNA in PD SOI CMOS technology. 2007 European Microwave Integrated Circuits Conference (Munich, Germany, 8-10 October 2007). In: 2007 European Microwave Integrated Circuits Conference, IEEE Xplore: Nex-York, 2007. 978-2-87487-002-6, p. 303-306. doi:10.1109/EMICC.2007.4412709.
Pardoen, Thomas ; Fabrègue, Damien ; Raskin, Jean-Pierre ; André, Nicolas ; Coulombier, Michaël. Internal stress actuated micro- and nanomachines for testing physical properties of micro- and nano-sized material samples . Numéro de priorité ; Déposé (20070214) ; Publié (20070823). AE, AG, AL, AM, AT, AU, AZ, BA, BB, BG, BR, BW, BY, BZ, CA, CH, CN, CO, CR, CU, CZ, DE, DK, DM, DZ, EC, EE, EG, ES, FI, GB, GD, GE, GH, GM, GT, HN, HR, HU, ID, IL, IN, IS, JP, KE, KG, KM, KN, KP, KR, KZ, LA, LC, LK, LR, LS, LT, LU, LV, LY, MA, MD, MG, MK, MN, MW, MX, MY, MZ, NA, NG, NI, NO, NZ, OM, PG, PH, PL, PT, RO, RS, RU, SC, SD, SE, SG, SK, SL, SM, SV, SY, TJ, TM, TN, TR, TT, TZ, UA, UG, US, UZ, VC, VN, ZA, ZM, ZW.|African Regional Intellectual Property Org. (ARIPO) (BW, GH, GM, KE, LS, MW, MZ, NA, SD, SL, SZ, TZ, UG, ZM, ZW)|Eurasian Patent Organization (EAPO) (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM)|European Patent Office (EPO) (AT, BE, BG, CH, CY, CZ, DE, DK, EE, ES, FI, FR, GB, GR, HU, IE, IS, IT, LT, LU, LV, MC, NL, PL, PT, RO, SE, SI, SK, TR)|African Intellectual Property Organization (OAPI) (BF, BJ, CF, CG, CI, CM, GA, GN, GQ, GW, ML, MR, NE, SN, TD, TG). AE, AG, AL, AM, AT, AU, AZ, BA, BB, BG, BR, BW, BY, BZ, CA, CH, CN, CO, CR, CU, CZ, DE, DK, DM, DZ, EC, EE, EG, ES, FI, GB, GD, GE, GH, GM, GT, HN, HR, HU, ID, IL, IN, IS, JP, KE, KG, KM, KN, KP, KR, KZ, LA, LC, LK, LR, LS, LT, LU, LV, LY, MA, MD, MG, MK, MN, MW, MX, MY, MZ, NA, NG, NI, NO, NZ, OM, PG, PH, PL, PT, RO, RS, RU, SC, SD, SE, SG, SK, SL, SM, SV, SY, TJ, TM, TN, TR, TT, TZ, UA, UG, US, UZ, VC, VN, ZA, ZM, ZW.|African Regional Intellectual Property Org. (ARIPO) (BW, GH, GM, KE, LS, MW, MZ, NA, SD, SL, SZ, TZ, UG, ZM, ZW)|Eurasian Patent Organization (EAPO) (AM, AZ, BY, KG, KZ, MD, RU, TJ, TM)|European Patent Office (EPO) (AT, BE, BG, CH, CY, CZ, DE, DK, EE, ES, FI, FR, GB, GR, HU, IE, IS, IT, LT, LU, LV, MC, NL, PL, PT, RO, SE, SI, SK, TR)|African Intellectual Property Organization (OAPI) (BF, BJ, CF, CG, CI, CM, GA, GN, GQ, GW, ML, MR, NE, SN, TD, TG)..
Rengel, R ; Martin, MJ ; Gonzalez, T. ; Mateos, J. ; Pardo, D ; Dambrine, G. ; Raskin, Jean-Pierre ; Danneville, F.. A microscopic interpretation of the RF noise performance of fabricated FDSOI MOSFETs. In: IEEE Transactions on Electron Devices, Vol. 53, no. 3, p. 523-532 (2006). doi:10.1109/TED.2005.863541.
Si Moussa, M. ; Pavageau, C. ; Lederer, Dimitri ; Picheta, L. ; Danneville, F. ; Raskin, Jean-Pierre ; Fel, N. ; Russat, J. ; Vanhoenacker-Janvier, Danielle. An investigation of high temperature effects on CPW and MSL on SOI substrate for RF applications. In: Solid-State Electronics, Vol. 50, no.12, p. 1822-1827 (2006).
Rengel, R. ; González, T. ; Mateos, Javier ; Pardo, D. ; Dambrine, Gilles ; Danneville, François ; Raskin, Jean-Pierre ; Martin, M. J.. An investigation of noise phenomena in fabricated fully-depleted Silicon-on-Insulator MOSFETs by means of ensemble Monte Carlo simulations. In: IEEE Transactions on Electron Devices, Vol. 53, no. 3, p. 523-532 (March).
Raskin, Jean-Pierre ; Flandre, Denis ; Chung, TM ; Kilchytska, Valeriya ; Lederer, Dimitri. Analog/RF performance of multiple gate SOI devices: Wideband simulations and characterization. In: IEEE Transactions on Electron Devices, Vol. 53, no. 5, p. 1088-1095 (2006). doi:10.1109/TED.2006.871876.
Si Moussa, Mehdi ; Pavageau, Christophe ; Simon, Pascal ; Danneville, François ; Russat, Jean ; Fel, Nicolas ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Behavior of a traveling-wave amplifier versus temperature in SOI technology. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 54, no. 6, p. 2675-2683 (June 2006). doi:10.1109/TMTT.2006.872950.
Si Moussa, Mehdi ; Pavageau, Christophe ; Lederer, Dimitri ; Picheta, L. ; Danneville, François ; Raskin, Jean-Pierre ; Fel, Nicolas ; Russat, J. ; Vanhoenacker-Janvier, Danielle. Behaviour of TFMS and CPW line on SOI substrate versus high temperature for RF applications. In: Solid-State Electronics, Vol. 50, no. 11-12, p. 1822-1827 (2006). doi:10.1016/j.sse.2006.10.008.
Doria, R.T. ; Pavanello, M.A. ; Cerdeira, Antonio ; Raskin, Jean-Pierre ; Flandre, Denis. Channel Length Reduction Influence on Harmonic Distortion of Graded-Channel Gate-All-Around Devices. In: Electrochemical Society. Transactions, Vol. 4, no. 1, p. 247-256 (2006). doi:10.1149/1.2813497.
Chung, Tsung Ming ; Raskin, Jean-Pierre. DC and AC analyses of novel SOI MOSFET devices using 2-D and 3-D numerical simulations. In: International Journal of Nanoscience, Vol. 5, no. 4-5, p. 639-644 (May). doi:10.1142/S0219581X06004917.
Olbrechts, Benoit ; Lejeune, Benoit ; Bertholet, Y. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Direct Wafer Bonding enhanced by Ductile Layers inserted near the interface. In: Electrochemical Society. Transactions, Vol. 3, no. 6, p. 279-289 (2006). doi:10.1149/1.2357078.
Olbrechts, Benoit ; Zhang, XX ; Bertholet, Y. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Effect of interfacial SiO2 thickness for low temperature O-2 plasma activated wafer bonding. In: Microsystem Technologies : micro and nanosystems - information storage and processing systems, Vol. 12, no. 5, p. 383-390 (2006). doi:10.1007/s00542-005-0038-2.
Charavel, Rémy ; Raskin, Jean-Pierre. Etch rate modification of SiO2 by ion damage. In: Electrochemical and Solid-State Letters, Vol. 9, no. 7, p. G245-G247 (2006). doi:10.1149/1.2200307.
Simoen, E. ; Claeys, C. ; Chung, Tsung Ming ; Flandre, Denis ; Pavanello, M.A. ; Martino, J.A. ; Raskin, Jean-Pierre. Low-frequency noise behaviour of graded-channel SOI nMOSFETs. In: Solid-State Electronics, Vol. 51, no. 2, p. 260-267 (2007). doi:10.1016/j.sse.2004.01.003.
Jorez, S. ; Cornet, Alain ; Raskin, Jean-Pierre. MEMS profilometry by low coherence phase shifting interferometry: Effect of the light spectrum for high precision measurements. In: Optics Communications, Vol. 263, no. 1, p. 6-11 (2006). doi:10.1016/j.optcom.2006.01.005.
Zhang, Xuanxiong ; Olbrechts, Benoit ; Raskin, Jean-Pierre. Oxygen plasma and warm nitric acid surface activation for low-temperature wafer bonding. In: Journal of the Electrochemical Society, Vol. 153, no. 12, p. G1099-G1105 (2006). doi:10.1149/1.2359700.
Iker, Francois ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. Three-dimensional self-assembled sensors in thin-film SOI technology. In: IEEE Journal of Microelectromechanical Systems, Vol. 15, no. 6, p. 1687-1697 (2006). doi:10.1109/JMEMS.2006.886002.
Olbrechts, Benoit ; Södervall, U. ; Bengtsson, S. ; Raskin, Jean-Pierre. Wafer bonding techniques for DG MOSFET fabrication. In: ECS Transactions, Vol. 3, no. 6, p. 47-58 (2006).
Pampin, Rémi ; Foultier, Boris ; Raskin, Jean-Pierre ; Remacle, J. ; Flandre, Denis. DNA Analytical CMOS Systems-on-a-Chip. In: American Scientific Publishers, Encyclopedia of Sensors, C.A.Grimes, E.C.Dickey, and M.V.Pishko, 2006, p. 395-412. 1-58883-058-6.
El Kaamouchi, Majid ; Si Moussa, M. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. A 2-mW power consumption low noise amplifier in PD SOI CMOS technology for 2.4 GHz applications. 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (Long Beach, CA, USA, 10-12 January 2007). In: 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RFSystems (IEEE Cat No. 07EX1459C), IEEE, 2006. 0-7803-9764-9, 4 pp..
Pampin, Rémi ; Foultier, Boris ; Moreno Hagelsieb, Luis ; Heusdens, Bruno ; Raskin, Jean-Pierre ; Destine, Jacques ; Remacle, José ; Flandre, Denis. An ISFET-like innovative device applied to labeled DNA detection. Third Focused Workshop on Electronic Recognition of Bio-Molecules – ERBM 3 (University of Liège (Belgium), du 06/09/2006 au 08/09/2006). In: Proceedings of the Third Focused Workshop on Electronic Recognition of Bio-Molecules – ERBM 3, 2006.
Zhang, Xiaodong ; Olbrechts, Benoit ; Raskin, Jean-Pierre. An investigation on the bonding surface energy versus time in low temperature wafer bonding. The 8th International Conference on Solid-State and Integrated-Circuit Technology (Shanghai, China, du 23/10/2006 au 26/10/2006). In: Proceedings of the The 8th International Conference on Solid-State and Integrated-Circuit Technology, 2006. 1-4244-0160-7, pp. 484-486. doi:10.1109/ICSICT.2006.306308.
Moussa, M. Si ; Pavageau, C. ; Simon, Pascal ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Behavior of a common source traveling wave amplifier versus temperature in SOI technology. 2005 European Microwave Conference (Paris, France, 4-6 October 2005). In: 2005 European Microwave Conference, European microwave association, 2006. 2-9600551-2-8, 4 pp..
Lederer, Dimitri ; Raskin, Jean-Pierre. Bias effects on RF passive structures in HR Si substrates. 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (San Diego, CA, USA, 18-20 January 2006). In: 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RFSystems (IEEE Cat. No.06EX1204), IEEE, 2006. 0-7803-9472-0, 4 pp..
Raskin, Jean-Pierre ; Iker, F. ; Andre, N. ; Olbrecht, B. ; Fabregue, D. ; Bertholet, Y. ; Flandre, Denis ; Pardoen, Thomas. Bulk and surface micromachined SOI MEMS: from sensors to testing micromachines. 4th International Society of Electrochemistry (ISE) Spring Meeting 2006 (Singapore, du 17/04/2006 au 20/04/2006). In: Proceedings of the 4th International Society of Electrochemistry (ISE) Spring Meeting 2006, 2006, p. 63.
Charavel, Rémy ; Raskin, Jean-Pierre. Buried mask revelation in silicon dioxide for double gate MOS fabrication. 2006 1st IEEE International Conference on Nano/Micro Engineered and Molecular Systems (Zhuhai, China, 18-21 January 2006). In: 2006 1st IEEE International Conference on Nano/Micro Engineered andMolecular Systems (IEEE Cat No. 06EX1290C), IEEE, 2006. 1-4244-0140-2, 5 pp..
Doria, Rodrigo ; Pavanello, Marcelo ; Cerdeira, Antonio ; Raskin, Jean-Pierre ; Flandre, Denis. Channel Length Reduction Influence On Harmonic Distortion Of Graded-Channel Gate-All-Around Devices. 21st Symposium on Microelectronics Technology and Devices - SBMicro2006 (Ouro Preto - Minas Gerais - Brazil, du 28/08-01/09/2006 au 01/09/2006). In: Proceedings of the 21st Symposium on Microelectronics Technology and Devices - SBMicro2006,, 2006, Paper B4.
Lederer, Dimitri ; Raskin, Jean-Pierre. Characterization of body node in PD SOI MOSFETs using 4-port VNA measurements. 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (Long Beach, CA, USA, 10-12 January 2007). In: 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RFSystems (IEEE Cat No. 07EX1459C), IEEE, 2006. 0-7803-9764-9, 4 pp..
Gluszko, G. ; Lukasiak, L. ; Kilchytska, Valeriya ; Chung, Tsung Ming ; Olbrechts, Benoit ; Flandre, Denis ; Raskin, Jean-Pierre. Charge pumping characterization of SOI PIN diodes. 7th NATO RCMCIS 2005 Regional Conference on Military Communications and Information Systems (Zegrze, du 07/10/2005 au 08/10/2005). In: Journal of Telecommunications and Information Technology, no. 3, p. 61-66 (2007). In: , Instytut Lacznosci, 2006.
Moldovan, Oana ; Cerdeira, Antonio ; Jimenez, David ; Raskin, Jean-Pierre ; Kilchytska, Valeriya ; Flandre, Denis ; Collaert, N. ; Iniguez, Benjamin. Compact Model of Double-Gate MOSFETs for Low Power Analog Applications. XXI Conference on Design of Circuits and integrated Systems (DCIS 2006) (Barcelona/Spain, du 22/11/2006 au 24/11/2006). In: Proceedings of XXI Conference on Design of Circuits and integrated Systems (DCIS 2006), 2006.
Moreno Hagelsieb, Luis ; Laurent, G. ; Foultier, Boris ; Pampin, Rémi ; Remacle, Jean-François ; Raskin, Jean-Pierre ; Flandre, Denis. DNA hybridization electrical detection by 3 independent measurement techniques based on interdigitated Al/Al2O3 capacitors. The Ninth World Congress on Biosensors 2006 (Toronto (Canada), du 10/05/2006 au 12/05/2006). In: Proceedings of the Ninth World Congress on Biosensors 2006, 2006, 380.
El Kaamouchi, Majid ; Si Moussa, M. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. DTMOS low noise amplifier design in partially depleted SOI CMOS technology. 2006 IEEE International SOI Conference (Niagara Falls, NY, USA, 2-5 October 2006). In: 2006 IEEE International SOI Conference (IEEE Cat. No. 06CH37786), IEEE, 2006. 1-4244-0289-1, p. 127-128. doi:10.1109/SOI.2006.284468.
Lederer, Dimitri ; Parvais, Bertrand ; Mercha, Abdelkarim ; Collaert, Nadine ; Jurczak, Malgorzata ; Raskin, Jean-Pierre ; Decoutere, Stefaan. Dependence of finFET RF performance on fin width. 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (San Diego, CA, USA, 18-20 January 2006). In: 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RFSystems (IEEE Cat. No.06EX1204), IEEE, 2006. 0-7803-9472-0, 4 pp..
Si Moussa, M. ; El Kaamouchi, Majid ; Wybo, G. ; Bens, A. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Design of a distributed amplifier with on-chip ESD protection circuit in 130 nm SOI CMOS technology. 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (Long Beach, CA, USA, 10-12 January 2007). In: 2007 Topical Meeting on Silicon Monolithic Integrated Circuits in RFSystems (IEEE Cat No. 07EX1459C), IEEE, 2006. 0-7803-9764-9, 4 pp..
Si Moussa, M. ; Pavageau, C. ; Picheta, L. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Design of a distributed oscillator in 130 nm SOI MOS technology. Proceedings of the 36th European Microwave Conference (Manchester, UK, 10-15 September 2006). In: Proceedings of the 36th European Microwave Conference (IEEE Cat.No.06EX1409), IEEE, 2006. 2-9600551-6-0, 4 pp..
Si Moussa, M. ; Pavageau, C. ; Picheta, L. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Design of distributed amplifiers and oscillators in 130 nm SOI MOS technology. 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (San Diego, CA, USA, 18-20 January 2006). In: 2006 Topical Meeting on Silicon Monolithic Integrated Circuits in RFSystems (IEEE Cat. No.06EX1204), IEEE, 2006. 0-7803-9472-0, 4 pp..
Olbrechts, Benoit ; Lejeune, Bertrand ; Bertholet, Y. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Direct Wafer Bonding enhanced by Ductile Layers inserted near the interface. 9th International Symposium on Semiconductor Wafer Bonding, Science, Technology and Application - Electrochemical Society Fall Meeting (Cancun, Mexico, October 29-Novemner 3). In: Proceedings of the 9th International Symposium on Semiconductor Wafer Bonding, Science, Technology and Application - Electrochemical Society Fall Meeting, 2006, p. Paper 1381.
Passi, Vikram ; Olbrechts, Benoit ; Raskin, Jean-Pierre. Fabrication of a Quadruple Gate MOSFET in Silicon-on-Insulator technology. Advanced Research Workshop “Nanoscaled Semiconductor-on-Insulator structures and devices (Sudak, Crimea, Ukraine, du 15/10/2006 au 19/10/2006). In: Proceedings of the Advanced Research Workshop “Nanoscaled Semiconductor-on-Insulator structures and devices, 2006, pp. 11-12.
Charavel, Rémy ; Olbrechts, Benoit ; Passi, Vikram ; Raskin, Jean-Pierre. Fabrication of multiple gate MOS devices for high speed and high frequency applications. Union Radio-Scientifique Internationale (U.R.S.I.) Benelux Meeting (Eindhoven, The Netherlands, 12/05/2006). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.) Benelux Meeting, 2006, p. 18.
Farhi, G. ; Beerens, J. ; Morris, D. ; Charlebois, S.A. ; Raskin, Jean-Pierre. First Report on Self-Switching-Diodes in SOI. IEEE International SOI Conference, SOI’2006 (Niagara Falls, New-York, USA, du 02/10/2006 au 05/10/2006). In: Proceedings of the IEEE International SOI Conference, SOI’2006, 2006. 1-4244-0290-5, pp. 149-150. doi:10.1109/SOI.2006.284480.
Pavanello, Marcelo Antonio ; Cerdeira, A. ; Martino, Joao Antonio ; Raskin, Jean-Pierre ; Flandre, Denis. Impact of Asymmetric Channel Configuration on the Linearity of Double-Gate SOI MOSFETs. 6th International Caribbean Conference on Devices, Circuits and Systems (Mexico, du 26/04/2006 au 28/04/2006). In: Proceedings of the 6th International Caribbean Conference on Devices, Circuits and Systems, 2006. 1-4244-0041-4, 187-192.
Pampin, Rémi ; Foultier, Boris ; Moreno Hagelsieb, Luis ; Heusdens, Bruno ; Raskin, Jean-Pierre ; Destine, Jacques ; Remacle, José ; Flandre, Denis. Insulated substrate impedance transducers: an innovative semiconductor device applied to labelled DNA sensing. Nanoelectronics days (ND) (Aachen (Allemagne), du 11/10/2006 au 13/10/2006). In: Proceedings of the Nanoelectronics days (ND), 2006.
Moreno Hagelsieb, Luis ; Foultier, Boris ; Pampin, Rémi ; Raskin, Jean-Pierre ; Remacle, J. ; Flandre, Denis. Investigation of Al/Al2O3 interdigitated structures on Si biochips towards the elctrical detection of TP53 DNA single point mutations. ERBM3 Collonster, Third Focused Workshop on Electronic Recognition of Bio-molecules (Liège (Belgium)). In: Proceedings of ERBM3 Collonster, Third Focused Workshop on Electronic Recognition of Bio-molecules, 2006.
Pardoen, Thomas ; Raskin, Jean-Pierre. La technologie MEMS au service de l'extraction des propriétés mécaniques des matériaux aux échelles micro et nano. ISTM Seminar - Maîtrise et caractérisation locale de microstructures dans les lignes d'interconnexions cuivre, ENSEEG (Grenoble, France, 2006). In: Proceedings of the ISTM Seminar, 2006.
Simoen, E. ; Claeys, C. ; Chung, T. M. ; Flandre, Denis ; Raskin, Jean-Pierre. Low-frequency noise behavior of graded-channel SOI n-MOSFETs. Second Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’06 (Grenoble (France), du 08/03/2006 au 10/03/2006).
Pardoen, Thomas ; Fabrègue, Damien ; Coulombier, Michaël ; André, Nicolas ; Raskin, Jean-Pierre. Micromechanical Testing Lab-on-chip for Nano-sized Materials. 2006 Materials Research Society (MRS) Fall Meeting (Boston, MA, USA, du 27/11/2006 au 01/12/2006). In: Proceedings of the 2006 Materials Research Society (MRS) Fall Meeting, 2006, p. EE 6.25.
Pardoen, Thomas ; Fabrègue, D. ; Coulombier, M. ; André, N. ; Raskin, Jean-Pierre. Micromechanical Testing Lab-on-chip for Nano-sized Materials. 2006 Materials Research Society (MRS) Fall Meeting (Boston, MA (USA), du 27/11/2006 au 01/12/2006). In: Proceedings of the 2006 Materials Research Society (MRS) Fall Meeting, 2006.
Pardoen, Thomas ; Fabrègue, Damien ; Bertholet, Yannick ; Iker, F. ; Olbrechts, Benoit ; André, Nicolas ; Raskin, Jean-Pierre. Micromechanics and MeMS : a win - win story. 2006 European Solid Mechanics Conference (Budapest, Hongria, August 2006). In: Proceedings of the 2006 European Solid Mechanics Conference, 2006.
Méndez, C. ; De Vincenzo, P. ; Klapka, I. ; Rochus, V. ; Iker, François ; André, Nicolas ; Raskin, Jean-Pierre. Modeling of the fabrication and operation of 3-D self assembled SOI MEMS. EuroSIME 2006 – Thermal, mechanical and multi-physics simulation and experiments in micro-electronics and micro-systems (Milano, Italy, du 23/04/2006 au 26/04/2006). In: Proceedings of the EuroSIME 2006 – Thermal, mechanical and multi-physics simulation and experiments in micro-electronics and micro-systems, 2006. 1-4244-0275-1, pp. 406-410. doi:10.1109/ESIME.2006.1643957.
Pailloncy, G. ; Raskin, Jean-Pierre. New de-embedding technique based on Cold-FET measurement. 1st European Microwave Integrated Circuits Conference (Manchester, UK, 10-13 September 2006). In: 1st European Microwave Integrated Circuits Conference (IEEE Cat.No.06EX1410), IEEE, 2006, 4 pp..
Fabrègue, Damien ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre ; Coulombier, Michaël. New internal stress driven micromachines for measuring the mechanical properties of thin films. International Symposium on Design, Test, Integration and Packaging of MEMS / MOEMS (Stresa, Lago Maggiore, Italy, du 24/04/2006 au 28/04/2006). In: Proceedings of the DTIP 2006 , 2006, p. 189-194.
Coulombier, Michaël ; André, Nicolas ; de Longueville, V. ; Fabrègue, D. ; Gets, T. ; Gravier, Sébastien ; Houri, Samer ; Safi, A. ; Raskin, Jean-Pierre ; Pardoen, Thomas. New multipurpose nanomechanical laboratory based on MEMS Technology. Workshop on "Nanomaterials : microstructural and mechanical characterisations, simulations" (Poitiers, France, December 12-13, 2006).
Moreno Hagelsieb, Luis ; Laurent, G. ; Pampin, Rémi ; Flandre, Denis ; Raskin, Jean-Pierre ; Foultier, B. ; Remade, Jean-Francois. On-chip RF detection of DNA hybridization based on interdigitated Al²O³ capacitors. ESSDERC 2006. Proceedings of the 36th European Solid-State Device Research Conference (Montreux, Switzerland, 19-21 September 2006). In: Proceedings of ESSDERC 2006. Proceedings of the 36th European Solid-State DeviceResearch Conference (IEEE Cat. No. 06EX1346), IEEE, 2006. 1-4244-0301-4, 125-128.
Lederer, Dimitri ; Chung, Tsung Ming ; Pailloncy, G. ; Raskin, Jean-Pierre. On-wafer wideband characterization of advanced MOS devices. Union Radio-Scientifique Internationale (U.R.S.I.) Benelux Meeting (Eindhoven, The Netherlands, 12/05/2006). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.) Benelux Meeting, 2006, p. 17.
Raskin, Jean-Pierre. On-wafer wideband characterization of advanced MOS technologies. 1st European Microwave Integrated Circuits Conference (Manchester, UK, 10-13 September 2006). In: 1st European Microwave Integrated Circuits Conference (IEEE Cat.No.06EX1410), IEEE, 2006, 4 pp..
Raskin, Jean-Pierre ; Pailloncy, G. ; Lederer, Dimitri. On-wafer wideband characterization: from technology improvements to compact models for advanced MOS devices. Microwave Technology and Techniques Workshop Enabling Future Space Systems (Noordwijk, The Netherlands, du 15/05/2006 au 16/05/2006). In: Proceedings of the Microwave Technology and Techniques Workshop Enabling Future Space Systems, 2006, p. 6 pages (paper 30).
Lederer, Dimitri ; Raskin, Jean-Pierre. On-wafer wideband electrical characterization: a powerful tool for improving the IC technologies. 7th Diagnostics & Yield Symposium (Warzaw, Poland, du 26/06/2006 au 28/06/2008). In: Proceedings of the 7th Diagnostics & Yield Symposium, 2006, p. Paper 21.
Lederer, D. ; Aspar, B. ; Laghaé, C. ; Raskin, Jean-Pierre. Performance of RF passive structures and SOI MOSFETs transferred on a passivated HR SOI substrate. IEEE International SOI Conference, SOI’06 (Niagara Falls, New York (USA), du 02/10/2006 au 05/10/2006).
Lederer, Dimitri ; Aspar, B. ; Laghae-Blanchard, C. ; Raskin, Jean-Pierre. Performance of SOI devices transferred onto passivated HR SOI substrates using a layer transfer technique. 2006 IEEE International SOI Conference (Niagara Falls, NY, USA, 2-5 October 2006). In: 2006 IEEE International SOI Conference, IEEE, 2006. 1-4244-0289-1, p. 29-30. doi:10.1109/SOI.2006.284417.
Chung, Tsung Ming ; Olbrechts, Benoit ; Flandre, Denis ; Södervall, U. ; Bengtsson, S. ; Raskin, Jean-Pierre. Planar Double-Gate SOI MOS devices by wafer bonding over pre-patterned cavities. Second Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’05 (Grenoble, France, du 08/03/2006 au 10/03/2006). In: Proceedings of the Second Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’05, 2006, pp. 111-112.
Rusavsky, V. A. ; Vasin, A. V. ; Lysenko, V. S. ; Nazarov, A. N. ; Dub, S. N. ; André, N. ; Raskin, Jean-Pierre. Structural and mechanical properties of the amorphous silicon carbide films for MEMS applications. The 5th International Conference on Amorphous & Microcrystalline Semiconductors (St. Petersburg (Russia), du 19/06/2006 au 21/06/2006).
Passi, Vikram ; Bolten, J. ; Mollenhauer, T. ; Wahlbrink, T. ; Lemme, M-C ; Kurz, H. ; Raskin, Jean-Pierre. Suspended Silicon-on-Insulator Nanowires for Fabrication of Quadruple Gate MOSFET's. Workshop on Silicon Nanodevices “Beyond CMOS: Emerging Nanodevices (Aachen, Germany, du 07/11/2006 au 08/11/2006). In: Proceedings of the Workshop on Silicon Nanodevices “Beyond CMOS: Emerging Nanodevices, 2006, p. Paper 11.
Raskin, Jean-Pierre. Thin film transfer for the fabrication of multiple gate MOS transistors. 9th International Symposium on Semiconductor Wafer Bonding, Science, Technology and Application - Electrochemical Society Fall Meeting (Cancum, Mexico, du 29/10/2006 au 03/11/2006). In: Proceeding of the 9th International Symposium on Semiconductor Wafer Bonding, Science, Technology and Application - Electrochemical Society Fall Meeting, 2006, p. Paper 1369.
Olbrechts, Benoit ; Flandre, Denis ; Raskin, Jean-Pierre. Thin-film SOI CMOS for heterogeneous microsystems. CANEUS 2006 Conference – Micro-Nano Technologies for Aerospace Applications (Toulouse, France, du 27/08/2006 au 01/09/2006). In: Proceedings of the CANEUS 2006 Conference – Micro-Nano Technologies for Aerospace Applications, 2006, 9 pages.
Iker, François ; André, Nicolas ; Raskin, Jean-Pierre. Three-dimensional microsystems co-integrated with SOI-CMOS circuit technology: from MEMS to NEMS. IEEE International Conference on Nano/Micro Egineered and Molecular Systems (Zhuhai, China, du 18/01/2006 au 21/01/2006). In: Proceedings of the IEEE International Conference on Nano/Micro Egineered and Molecular Systems, 2006, p. Session 3E4.1-Paper 362.
Poesen, G. ; Stiens, J. ; Raskin, Jean-Pierre ; Vanden Bossche, M. ; Vounckx, R.. Transient effects in optically modulated transmission line switches. International Topical Meeting on Microwave Photonics – MWP’2006 (Grenoble, France, du 03/10/2006 au 06/10/2006). In: Proceedings of the International Topical Meeting on Microwave Photonics – MWP’2006, 2006. 1-4244-0204-2, p. 4 pages - Paper W2P3. doi:10.1109/MWP.2006.346526.
Charavel, Rémy ; Raskin, Jean-Pierre. Tuning of etching rate by implantation: silicon, polysilicon and oxide. 16th International Conference of Ion Implantation Technology – ITT’06 (Marseille, France, du 11/06/2006 au 16/06/2006). In: Proceedings of the 16th International Conference of Ion Implantation Technology – ITT’06, 2006, pp. 325-328. doi:10.1063/1.2401523.
Charavel, Rémy ; Raskin, Jean-Pierre. Underetch reduction by highly selective etching of oxide. IEEE International Conference on Nano/Micro Egineered and Molecular Systems (Zhuhai, China, du 18/01/2006 au 21/01/2006). In: Proceedings of the IEEE International Conference on Nano/Micro Egineered and Molecular Systems, 2006, p. Session 3E2.1-Paper 424.
Zhang, Xuan Xiong ; Raskin, Jean-Pierre. A dynamic study for wafer-level bonding strength uniformity in low temperature wafer bonding. In: Electrochemical and Solid-State Letters, Vol. 8, no. 10, p. G268-G270 (October).
Zhang, XX ; Raskin, Jean-Pierre. A dynamic study for wafer-level bonding strength uniformity in low-temperature wafer bonding. In: Electrochemical and Solid-State Letters, Vol. 8, no. 10, p. G268-G270 (2005). doi:10.1149/1.2012288.
Kilchytska, Valeriya ; Flandre, Denis ; Lederer, Dimitri ; Collaert, Nadine ; Raskin, Jean-Pierre. Accurate effective mobility extraction by split C-V technique in SOI MOSFETs: Suppression of the influence of floating-body effects. In: IEEE Electron Device Letters, Vol. 26, no. 10, p. 749-751 (2005). doi:10.1109/LED.2005.855408.
Kranti, Abhinav ; Flandre, Denis ; Chung, TM ; Raskin, Jean-Pierre. Analysis of quasi double gate method for performance prediction of deep submicron double gate SOI MOSFETs. In: Semiconductor Science and Technology, Vol. 20, no. 5, p. 423-429 (2005). doi:10.1088/0268-1242/20/5/017.
Kranti, A. ; Chung, TN ; Raskin, Jean-Pierre. Analysis of static and dynamic performance of short-channel double-gate silicon-on-insulator metal-oxide-semiconductor field-effect transistors for improved cutoff frequency. In: Japanese Journal of Applied Physics. Part 2, Letters & Express Lettres, Vol. 44, no. 4B, p. 2340-2346 (2005). doi:10.1143/JJAP.44.2340.
Pavanello, M.A. ; Martino, J.A. ; Raskin, Jean-Pierre ; Flandre, Denis. Analysis on the improved analog performance on double gate transistors by using the graded-channel architecture in a wide temperature range. In: Solid-State Electronics, Elsevier Science, Pergamon, Vol. 49, no. 10, p. 1569-1575 (October).
Si Moussa, M. ; Pavageau, C. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Behavior of a common source traveling wave amplifier versus temperature in SOI technology. In: European Microwave Association. Proceedings, Vol. 1, p. 288-292 (2005).
Dehan, Morin ; Raskin, Jean-Pierre. Dynamic threshold voltage MOS in partially depleted SOI technology: a wide frequency band analysis. In: Solid-State Electronics, Vol. 49, no. 1, p. 67-72 (2005). doi:10.1016/j.sse.2004.07.003.
Lederer, Dimitri ; Raskin, Jean-Pierre. Effective resistivity of fully-processed SOI substrates. In: Solid-State Electronics, Vol. 49, no. 3, p. 491-496 (2005). doi:10.1016/j.sse.2004.12.003.
Zhang, Xiaodong ; Raskin, Jean-Pierre. Extended low-temperature plasma-assisted bonding enhances wafer bonding strength uniformity. In: MRS Bulletin, Vol. 30, no.Issue 10, pp. 688-689 (October 2005). doi:10.1557/mrs2005.215.
Kranti, Abhinav ; Chung, Tsung Ming ; Raskin, Jean-Pierre. Gate length scaling and microwave performance of double gate nanotransistors. In: International Journal of Nanoscience, Vol. 4, no.5-6, pp. 1021-1024 (October-December 2005). doi:10.1142/S0219581X05004005.
Lederer, Dimitri ; Flandre, Denis ; Raskin, Jean-Pierre. High frequency degradation of body-contacted PD SOI MOSFET output conductance. In: Semiconductor Science and Technology, Vol. 20, no. 5, p. 469-472 (2005). doi:10.1088/0268-1242/20/5/025.
Pavanello, Marcelo Antonio ; Flandre, Denis ; Martino, Joao Antonio ; Raskin, Jean-Pierre. High performance analog operation of double gate transistors with the graded-channel architecture at low temperatures. In: Solid-State Electronics, Vol. 49, no. 10, p. 1569-1575 (2005). doi:10.1016/j.sse.2005.08.005.
Parvais, Bertrand ; Pallandre, A. ; Jonas, Alain M. ; Raskin, Jean-Pierre. Liquid and vapor phase silanes coating for the release of thin film MEMS. In: IEEE Transactions on Device and Materials Reliability, Vol. 5, no. 2, p. 250-254 (2005). doi:10.1109/TDMR.2005.846976.
Jorez, S. ; Laconte, J. ; Cornet, Alain ; Raskin, Jean-Pierre. Low-cost optical instrumentation for thermal characterization of MEMS. In: Measurement Science and Technology, Vol. 16, no. 9, p. 1833-1840 (2005). doi:10.1088/0957-0233/16/9/016.
Zhang, XX ; Raskin, Jean-Pierre. Low-temperature wafer bonding: A study of void formation and influence on bonding strength. In: IEEE Journal of Microelectromechanical Systems, Vol. 14, no. 2, p. 368-382 (2005). doi:10.1109/JMEMS.2004.839027.
Lederer, Dimitri ; Raskin, Jean-Pierre. New substrate passivation method dedicated to HR SOI wafer fabrication with increased substrate resistivity. In: IEEE Electron Device Letters, Vol. 26, no. 11, p. 805-807 (2005). doi:10.1109/LED.2005.857730.
Parvais, Bertrand ; Cerdeira, A. ; Schreurs, D. ; Raskin, Jean-Pierre. Non-linear performance comparison for FD and PD SOI MOSFETs based on the integral function method and Volterra modelling. In: International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol. 18, no. 4, p. 283-296 (2005). doi:10.1002/jnm.578.
Iker, François ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. One-mask CMOS compatible process for the fabrication of three-dimensional self-assembled thin-film SOI microelectromechanical systems. In: Electrochemical and Solid-State Letters, Vol. 8, no. 10, p. H87-H89 (2005). doi:10.1149/1.2030488.
Eggermont, Jean-Paul ; Flandre, Denis ; Raskin, Jean-Pierre ; Colinge, Jean-Pierre. Potential and modeling of 1-mM SOI CMOS operational transconductance amplifiers for applications up to 1 GHz. In: IEEE Journal of Solid State Circuits, Vol. 33, no. 4, p. 640-646 (April).
Ivanov, P. ; Laconte, J. ; Raskin, Jean-Pierre ; Stankova, M. ; Sotter, E. ; Llobet, E. ; Vilanova, X. ; Flandre, Denis ; Correig, X.. SOI-CMOS compatible low-power gas sensor using sputtered and drop-coated metal-oxide active layers. In: Microsystem Technologies : micro and nanosystems - information storage and processing systems, Vol. 12, no. 1-2, p. 160-168 (2005). doi:10.1007/s00542-005-0003-0.
Laurent, Géry ; Raskin, Jean-Pierre ; Huynen, Isabelle. Solutions for an electrical DNA detection. In: Belgian Journal of Electronics and Communications (Revue HF Tijdschrift), Vol. 1, p. 67-68 (2005).
Lederer, Dimitri ; Raskin, Jean-Pierre. Temperature dependence of RF losses in HR SOI substrates. In: Flandre D., Nazarov A.N., Hemment P.L.F., Science and Technology of Semiconductor-On-Insulator Structures and Devices Operating in a Harsh Environmen, Kluwer Academic Publ. -NATO Science Series Elsevier, 2005, p. 192-196. 978-1-4020-3012-3.
Chung, Tsung Ming ; Kranti, A. ; Raskin, Jean-Pierre. 2-D and 3-D wideband simulations of multi-gate SOI MOSFETs. 6th European Conference on ULtimate Integration of Silicon - ULIS’05 (Bologna, Italy, du 07/04/2005 au 08/04/2005). In: Proceedings of the 6th European Conference on ULtimate Integration of Silicon - ULIS’05, 2005, pp. 83-86.
Chung, T. M. ; Raskin, Jean-Pierre. 3-D numerical simulations of multi-gate MOSFETs. Union Radio-Scientifique Internationale (U.R.S.I.) (Palace of the Academies, Brussels (Belgium), 10/12/2004). In: Belgian Journal of Electronics and Communications (Revue HF Tijdschrift), 2005, 55-56.
Méndez, C. ; De Vincenzo, P. ; Klapka, I. ; Rochus, V. ; Iker, F. ; André, N. ; Raskin, Jean-Pierre. 3-D self assembled SOI MEMS: fabrication and numerical simulation. 5th ESA Micro and Nanotechnologies Round Table (Noordwijk (The Netherlands), du 03/10/2005 au 05/10/2005). In: 5th ESA Micro and Nanotechnologies Round Table, 2005, 238-244.
Chung, Tsung Ming ; Kranti, A. ; Raskin, Jean-Pierre. 3-D simulations of multigate SOI MOSFETs in static and dynamic regimes. First Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’05 (Granada, Spain, du 19/01/2005 au 21/01/2005). In: Proceedings of the First Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’05, 2005, pp. 73-74.
Pavaganau, C. ; Si Moussa, Mehdi ; Siligaris, A. ; Picheta, L. ; Danneville, F. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Amplificateur distribué en bande K avec technologie CMOS SOI 130 nm. Journées Nationales Micro-ondes – JNM’2005 (Nantes, France, du 10/05/2005 au 13/05/2005). In: Proceedings des Journées Nationales Microondes - JNM'2005, 2005, p. Paper 1C4.
Si Moussa, M. ; Pavageau, C. ; Lederer, Dimitri ; Picheta, L. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. An investigation of temperature effects on CPW and MSL on SOI substrate for RF applications. 2005 IEEE International SOI Conference (Honolulu, HI, USA, 3-6 October 2005). In: 2005 IEEE International SOI Conference (QSIC 2005) (IEEE Cat. No.05CH37694), IEEE, 2005. 0-7803-9212-4, p. 70-71.
Iker, François ; Si Moussa, Mehdi ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. CMOS compatible 2-D self-assembled MEMS in thin film SOI technology. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 10/12/2005). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2005, p. 59.
André, Nicolas ; Iker, François ; Jorez, S. ; Raskin, Jean-Pierre. CMOS compatible 3D flow and thermal sensors in SOI technology. 2005 IEEE Sensors (Irvine, CA, USA, 30 October-3 November 2005). In: 2005 IEEE Sensors (IEEE Cat. No.05CH37665C), IEEE, 2005. 0-7803-9056-3, 4 pp..
Bertholet, Y. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Cohesive zone based modelling of Si/Si and SiO2/SiO2 interfaces in the presence of ductile interlayers. 11th International Conference on Fracture (Turin (Italy), du 20/03/2005 au 25/03/2005). In: Proceedings of ICH11 , A. Carpenteri ed., 2005, p. 5087.
Lederer, Dimitri ; Raskin, Jean-Pierre. Couplage en surface dans des substrats de silicium de haute résistivité. Journées Nationales Micro-ondes – JNM’2005 (Nantes, France, du 10/05/2005 au 13/05/2005). In: Proceedings des Journées Nationales Microondes - JNM'2005, 2005, p. Paper 4D9.
Chung, Tsung Ming ; Raskin, Jean-Pierre. DC and AC analyses of novel SOI MOSFET devices using 2-D and 3-D numerical simulations. ”, 3rd International Conference on Materials for Advanced Technologies – ICMAT 2005 and 9th International Conference on Advanced Materials (ICAM 2005) (Singapore, du 03/07/2005 au 08/07/2005). In: Proceedings of the ”, 3rd International Conference on Materials for Advanced Technologies – ICMAT 2005 and 9th International Conference on Advanced Materials (ICAM 2005), 2005, p. Paper G-6-P063.
Moreno-Hagelsieb, L. ; Pampin, R. ; Laurent, G. ; Raskin, Jean-Pierre ; Flandre, Denis ; Foultier, B. ; Remacle, J.. DNA Electrical Detection Based On Si-CMOS Compatible Al Capacitors and Inductors Coated With Metal Oxides (Concentrations lower than 1 nM). Second Focused Workshop on Electronic Recognition of Bio-molecules (Beckman Institute for Advanced Science and Technology, University of Illinois in Urbana, Illinois (USA), du 07/09/2005 au 09/09/2005).
Flandre, Denis ; Moreno Hagelsieb, Luis ; Pampin, Rémi ; Laurent, G. ; Raskin, Jean-Pierre ; Foultier, Boris ; Remacle, José. DNA analytical CMOS-compatible capacitive systems. Fifth Belgian Day On Biomedical Engineering (Brussels (Belgium), 28/10/2005). In: Proceedings of the Fifth Belgian Day On Biomedical Engineering, 2005, 19-23.
Si Moussa, Mehdi ; Pavageau, C. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Design of a traveling wave amplifier in 0.13 µm partially depleted SOI. First Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’05 (Granada, Spain, du 19/01/2005 au 21/01/2005). In: Proceedings of the First Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits – EuroSOI’05, 2005, pp. 143-144.
Iker, François ; André, Nicolas ; Proost, Joris ; Pardoen, Thomas ; Raskin, Jean-Pierre. Fabrication and modeling of 3-D self-assembled SOI MEMS controlled by thermal and plastic strains. IEEE MEMS 2005 (Miami, Florida, USA, du 29/01/2005 au 03/02/2005). In: Proceedings of MEMS 2005, 2005, p. 459-462.
Iker, François ; André, Nicolas ; Proost, Joris ; Pardoen, Thomas ; Raskin, Jean-Pierre. Fabrication and modeling of 3-D self-assembled SOI MEMS using plasticity features. 18th IEEE International Conference on MicroElectroMechanical Systems - MEMS 2005 (Miami, Floride, USA, January 30-February 3 2005). In: Proceedings , 2005, p. 459-462.
Lederer, Dimitri ; Kilchytska, Valeriya ; Rudenko, Tamara ; Collaert, N. ; Flandre, Denis ; Dixit, A. ; De Meyer, K. ; Raskin, Jean-Pierre. FinFET analog characterization from DC to 110 GHz. First Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits (EUROSOI 2005) (Granada (Spain), du 19/01/2005 au 21/01/2005). In: Proceedings of the First Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits (EUROSOI 2005), 2005, p. 99-100. doi:10.1016/j.sse.2005.07.011.
Lederer, D. ; Collaert, N. ; Raskin, Jean-Pierre. FinFET characterization up to 110 GHz. Uion Radio-Scientifique Internationale (U.R.S.I.) (Palace of the Acadmies, Brussels (Belgium), 10/12/2004). In: Belgian Journal of Electronics and Communications (Revue HF Tijdschrift), 2005, 52-53.
Raskin, Jean-Pierre ; Dixit, A. ; Collaert, N. ; Rudenko, Tamara ; Chung, Tsung Ming ; Flandre, Denis ; Kilchytska, Valeriya ; Lederer, Dimitri. FinFET: a mature multi-gate MOS technology ? A wideband transistor simulation and characterization approach. SINANO Workshop 2005 (Grenoble (France), 16/09/2005). In: Proceedings of the SINANO Workshop 2005, 2005, Paper n° 5, 21 pages.
Bertholet, Y. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Influence of a ductile interlayer on the toughness of hydrophilic wafer bonding. Proceedings of the Eighth International Symposium on Semiconductor Wafer Bonding; science, technology and applications, 205rd Meeting of the Electrochemical Society (Québec City, Canada, du 15/05/2005 au 20/05/2005). In: Proceedings of the Eighth International Symposium on Semiconductor Wafer Bonding; science, technology , Electrochemical Society: Québec, Canada, 2005, p. 264-269.
Moreno Hagelsieb, Luis ; Pampin, Rémi ; Laurent, G. ; Raskin, Jean-Pierre ; Poleunis, C. ; Bertrand, P. ; Flandre, Denis ; Foultier, Boris ; Remacle, J.. Investigation of the Electrical Detection of hybridized DNA Concentrations lower Than 1 nM, based On CMOS Compatible Al Capacitors Coated With Metal Oxides. 2nd ERBM Workshop (Urbana-Champaign University (USA), du 07/09/2005 au 09/09/2005). In: Proceedings of the 2nd ERBM Workshop, 2005.
Pavageau, C. ; Si Moussa, Mehdi ; Siligaris, A. ; Picheta, L. ; Danneville, F. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Low power 23-GHz and 27-GHz distributed cascode amplifiers in a standard 120 nm SOI CMOS process. International Microwave Symposium – IMS 2005 (Long Beach, CA, USA, du 11/06/2005 au 17/06/2005). In: Proceedings of the International Microwave Symposium – IMS 2005, 2005, p. 4 pages.
Kilchytska, Valeriya ; Rudenko, Tamara ; Collaert, N. ; Rooyackers, R. ; Jurczak, M. ; Raskin, Jean-Pierre ; Flandre, Denis. Mobility characterization in FinFETs using split C-V technique. 6th International Conference on Ultimate integration of Silicon (ULIS 2005) (Bologna, Italy, April 7-8, 2005). In: Proceedings of the 6th International Conference on Ultimate integration of Silicon (ULIS 2005), 2005. 88-900847-0-7, 117-120.
Iker, François ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. New on-chip testing method for ultra thin metallic films. Euromech Colloquium 463 - Size dependent mechanics of materials (Groningen, The Netherlands, du 13/06/2005 au 15/06/2005). In: Proceedings of the Euromech Colloquium 463 - Size dependent mechanics of materials, 2005, p. Poster 5.
Huynen, Isabelle ; Saib, Aimad ; Laurent, G. ; Raskin, Jean-Pierre ; Dardenne, X. ; Craeye, Christophe. Research at UCL in the field of metamaterials for planar circuit and antenna applications. First Workshop of the METAMORPHOSE Network of Excellence (Metamaterials organised for radio, millimeter wave and photonic superlattice engineering (Louvain-la-Neuve, Belgium, 00/00/2005). In: Proceedings of the First Workshop of the METAMORPHOSE Network of Excellence (Metamaterials organised for radio, millimeter wave and photonic superlattice engineering, 2005, p. 5 pages (paper 3).
Kilchytska, Valeriya ; Lederer, Dimitri ; Simon, Pascal ; Collaert, N. ; Raskin, Jean-Pierre ; Flandre, Denis. Revised Split C-V Technique for Mobility Investigation in Advanced Devices. 2005 IEEE International SOI Conference (Hawaii (USA), du 03/10/2005 au 06/10/2005). In: Proceedings of the 2005 IEEE International SOI Conference, IEEE, 2005. 0-7803-9213-2, 110-111. doi:10.1109/SOI.2005.1563555.
Kilchytska, Valeriya ; Lederer, Dimitri ; Simon, Pascal ; Collaert, Nadine ; Raskin, Jean-Pierre ; Flandre, Denis. Revised split C-V technique for mobility investigation in advanced devices. 2005 IEEE International SOI Conference (Honolulu, HI, USA, 3-6 October 2005). In: 2005 IEEE International SOI Conference (QSIC 2005) (IEEE Cat. No.05CH37694), IEEE, 2005. 0-7803-9212-4, 110-111.
Flandre, Denis ; Laconte, Jean ; Levacq, David ; Afzalian, Aryan ; Rue, Bertrand ; Renaux, Christian ; Iker, François ; Olbrechts, Benoît ; André, Nicolas ; Raskin, Jean-Pierre. SOI CMOS and MEMS for single-chip high-temperature microsystems. 2005 International Conference on High Temperature Electronics (HITEN 2005) (Paris (France), du 06/09/2005 au 08/09/2005). In: Proceedings of the 2005 International Conference on High Temperature Electronics (HITEN 2005), 2005, p. Paper n°1.
Olbrechts, Benoît ; Castadot, C. ; Laconte, Jean ; Flandre, Denis ; Raskin, Jean-Pierre. SOI-CMOS technology for Thin Film Sensors on Membranes. 5th Round Table on Micro/Nano Technologies for Space (ESTEC 2005) (Noordwijck (The Netherlands), du 03/10/2005 au 05/10/2005). In: Proceedings of the 5th Round Table on Micro/Nano Technologies for Space (ESTEC 2005), 2005, 213-219.
Charavel, R. ; Raskin, Jean-Pierre. Self alignment method by buried mask implantation for double gate MOS and nano devices fabrication. Union Radio-Scientifique Internationale (U.R.S.I.) (Palace of the Academies, Brussels (Belgium), 10/12/2004). In: Belgian Journal of Electronics and Communications (Revue HF Tijdschrift), 2005, 57-58.
Si Moussa, M. ; Pavageau, C. ; Danneville, F. ; Russat, J. ; Fel, N. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Temperature effect on the performance of a traveling wave amplifier in 130 nm SOI technology. 2005 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium (Long Beach, CA, USA, 12-14 June 2005). In: 2005 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium (IEEECat. No. 05CH37652), IEEE, 2005. 0-7803-8983-2, p. 495-498.
Passi, V. ; Raskin, Jean-Pierre. Wideband characteristics of multigates MOS devices. The Thirteenth International Workshop on the Physics of Semiconductor Devices - IWPSD-2005 (New Delhi (India), du 13/12/2005 au 17/12/2005). In: The Thirteenth International Workshop on the Physics of Semiconductor Devices - IWPSD-2005, 2005, paper 122.
Raskin, Jean-Pierre. Wideband characterization and simulation of advanced MOS devices for RF applications. 35th European Microwave Week – 13th GAAS Symposium (Paris (France), du 03/10/2005 au 05/10/2005). In: 35th European Microwave Week – 13th GAAS Symposium, 2005, 109-112.
Lederer, Dimitri ; Rozeau, O. ; Raskin, Jean-Pierre. Wideband characterization of body-accessed PD SOI MOSFETs with multiport measurements. 2005 IEEE International SOI Conference (Honolulu, HI, USA, 3-6 October 2005). In: 2005 IEEE International SOI Conference (QSIC 2005) (IEEE Cat. No.05CH37694), IEEE, 2005. 0-7803-9212-4, p. 65-66.
Lederer, Dimitri ; Flandre, Denis ; Raskin, Jean-Pierre. AC behavior of gate-induced floating body effects in ultrathin oxide PD SOI MOSFETs. In: IEEE Electron Device Letters, Vol. 25, no. 2, p. 104-106 (February 2004). doi:10.1109/LED.2003.822658.
Pailloncy, G. ; Raynaud, C. ; Vanmackelberg, M ; Danneville, F. ; Lepilliet, S ; Raskin, Jean-Pierre ; Dambrine, G.. Impact of downscaling on high-frequency noise performance of bulk and SOI MOSFETs. In: IEEE Transactions on Electron Devices, Vol. 51, no. 10, p. 1605-1612 (2004). doi:10.1109/TED.2004.834902.
Parvais, Bertrand ; Raskin, Jean-Pierre. Integral Function Method for the Analysis of Harmonic Distortion of SOI MOSFETS. In: Belgian Journal of Electronics & Communications, no. 2, p. 9-11 (2004).
Zhang, XX ; Raskin, Jean-Pierre. Investigation on the uniformity of surface energy in silicon direct-bonding technique. In: Journal of the Electrochemical Society, Vol. 151, no. 9, p. G568-G573 (2004). doi:10.1149/1.1775221.
Kranti, Abhinav ; Flandre, Denis ; Chung, Tsung Ming ; Raskin, Jean-Pierre. Laterally asymmetric channel engineering in fully depleted double gate SOI MOSFETs for high performance analog applications. In: Solid-State Electronics, Vol. 48, no. 6, p. 947-959 (2004). doi:10.1016/j.sse.2003.12.014.
Zhang, XX ; Raskin, Jean-Pierre. Low-temperature wafer bonding optimal O-2 plasma surface pretreatment time. In: Electrochemical and Solid-State Letters, Vol. 7, no. 8, p. G172-G174 (2004). doi:10.1149/1.1763793.
Pailloncy, G. ; Iniguez, B. ; Dambrine, G. ; Raskin, Jean-Pierre ; Danneville, F.. Noise modeling in fully depleted SOI MOSFETs. In: Solid-State Electronics, Vol. 48, no. 5, p. 813-825 (2004). doi:10.1016/j.sse.2003.12.032.
Laconte, Jean ; Dupont, Cédric ; Flandre, Denis ; Raskin, Jean-Pierre. SOI CMOS compatible low-power microheater optimization for the fabrication of smart gas sensors. In: IEEE Sensors Journal, Vol. 4, no. 5, p. 670-680 (October 2004). doi:10.1109/JSEN.2004.833516.
Bertholet, Y. ; Iker, François ; Raskin, Jean-Pierre ; Pardoen, Thomas. Steady-state measurement of wafer bonding cracking resistance. In: Sensors and Actuators A: Physical : an international journal devoted to research and development of physical and chemical transducers, Vol. 110, no. 1-3, p. 157-163 (2004). doi:10.1016/j.sna.2003.09.004.
Laconte, J. ; Iker, François ; Jorez, S. ; André, Nicolas ; Proost, Joris ; Pardoen, Thomas ; Flandre, Denis ; Raskin, Jean-Pierre. Thin films stress extraction using micromachined structures and wafer curvature measurements. In: Microelectronic Engineering, Vol. 76, no. 1-4, p. 219-226 (2004). doi:10.1016/j.mee.2004.07.003.
Moreno Hagelsieb, Luis ; Foultier, Boris ; Laurent, G. ; Poleunis, Claude ; Bertrand, Patrick ; Raskin, Jean-Pierre ; Remacle, J. ; Flandre, Denis. Aluminium anodizing process characterization for DNA attachment and electrical detection. 8th International Conference on Miniaturized Systems for Chemistry and Life Sciences (MICROTAS 2004) (Malmö (Sweden), du 26/09/2004 au 30/09/2004). In: Proceedings of [Mu]TAS 2004 8th International Conference on Miniaturized Systems for Chemistry and Life Sciences, Malmö, Sweden, September 26-30, 2004 (Special publication (Royal Society of Chemistry (Great Britain); 296-297), RSC: Cambridge, 2004. 9780854046430, 395-397.
Parvais, Bertrand ; Raskin, Jean-Pierre. Analytical expressions for distorsion of SOI MOSFETs using the Volterra series. The European Gallium Arsenide and other Compound Semicondutors Application Symposium (GAAS) as part of the European Microwave Week 2004 (Amsterdam, Netherlands, du 12/10/2004 au 14/10/2004). In: Proceedings of the The European Gallium Arsenide and other Compound Semicondutors Application Symposium (GAAS) as part of the European Microwave Week 2004, 2004, pp. 223-226.
Parvais, Bertrand ; Cerdeira, A. ; Raskin, Jean-Pierre. Application of integral function method for distortion analysis of microwave transistors. Asia-Pacific Microwave Conference (AMPC) (New Delhi, India, du 15/12/2004 au 18/12/2004). In: Proceedings of the Asia-Pacific Microwave Conference (AMPC), 2004, p. 4 pages (paper APMC/04/1/174).
Davanzzo Gomes dos Santos, Carolina ; Pavanello, Marcelo Antonio ; Martino, Joao Antonio ; Flandre, Denis ; Raskin, Jean-Pierre. Behaviour of Graded Channel SOI Gate-All-Around NMOSFET Devices at High Temperatures. 19th International Symposium on Microelectronics Technology and Devices (SBMICRO 2004) (Porto de Galinhas Beach (Brazil)). In: Proceedings of the Nineteenth International Symposium on Microelectronics Technology and Devices (SBMICRO 2004), The Electrochemical Society (ECS), 2004. 1-56677-416-0, 9-14.
Iker, François ; Si Moussa, Mehdi ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. CMOS compatible 2-D self-assembled MEMS in thin film SOI technology. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, December 10). In: Proceedings, 2004, p. 66.
Iker, François ; Si Moussa, M. ; André, Nicolas ; Pardoen, Thomas ; Raskin, Jean-Pierre. CMOS compatible 3-D self assembled microstructures using thin film SOI technology. Proceedings of the IEEE Sensors 2004 (Vienna, Austria, 24-27 October 2004). In: Proceedings of the IEEE Sensors 2004 (IEEE Cat. No.04CH37603), IEEE, 2004. 0-7803-8692-2, Vol. 3, p. 1113-16 .
Vanhoenacker-Janvier, Danielle ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Dehan, Morin. Characterization and optimization of passive microwave devices integrated on Silicon-on-Insulator. Asia-Pacific Microwave Conference (AMPC) (New Delhi, India, du 15/12/2004 au 18/12/2004). In: Proceedings of the Asia-Pacific Microwave Conference (AMPC), 2004, p. 4 pages (paper APMC/04/1/308).
Olbrechts, Benoit ; Bertholet, Y. ; Pardoen, Thomas ; Raskin, Jean-Pierre. Direct wafer bonding issues : surface activation, high and low temperature annealing and insertion of a ductile layer for absorbing constraints. Workshop on Wafer Bonding for MEMS Technologies (Halle, Germany, du 11/10/2004 au 12/10/2004). In: Proceedings of the Workshop on Wafer Bonding for MEMS Technologies, 2004, p. 25-26.
Kranti, A. ; Raskin, Jean-Pierre. Double gate MOSFET devices for analog microwave applications. Asia-Pacific Microwave Conference (AMPC) (New Delhi, India, du 15/12/2004 au 18/12/2004). In: Proceedings of the Asia-Pacific Microwave Conference (AMPC), 2004, p. 4 pages (paper APMC/04/1/502).
Kranti, A. ; Chung, Tsung Ming ; Raskin, Jean-Pierre. Double gate SOI MOSFET – Considerations for improved cut-off frequency. International Conference on Solid-State Devices and Materials – SSDM’2004 (Tokyo, Japan, du 15/09/2004 au 17/09/2004). In: Proceedings of the International Conference on Solid-State Devices and Materials – SSDM’2004, 2004, pp. 784-785.
Lederer, Dimitri ; Lobet, Romuald ; Raskin, Jean-Pierre. Enhanced high resistivity SOI wafers for RF applications. 2004 IEEE International SOI Conference (Charleston, South Carolina, USA, du 04/10/2004 au 07/10/2004). In: Proceedings of the 2004 IEEE International SOI Conference, 2004. 0-7803-8497-0, pp. 46-47. doi:10.1109/SOI.2004.1391549.
Bertholet, Y. ; Iker, François ; Zhang, Xuan Xiong ; Raskin, Jean-Pierre ; Pardoen, Thomas. Fracture resistance of interfaces in bonded silicon wafers. 15th European Conference of Fracture (Stockholm, Sweden, du 11/08/2004 au 13/08/2004). In: Proceedings of the 15th European Conference of Fracture, 2004, p. Paper ECF15, 9 pages.
Laconte, Jean ; Rue, Bertrand ; Raskin, Jean-Pierre ; Flandre, Denis. Fully CMOS-SOI Compatible Low-Power Directional Flow Sensor. Third IEEE International Conference on Sensors 2004 (Vienna (Austria), du 24/10/2004 au 27/10/2004). In: Proceedings of the Third IEEE International Conference on Sensors 2004, IEEE, 2004. 0-7803-8692-2, 864-867. doi:10.1109/ICSENS.2004.1426307. doi:10.1109/ICSENS.2004.1426307.
Laconte, J. ; Rue, Bertrand ; Raskin, Jean-Pierre ; Flandre, Denis. Fully CMOS-SOI compatible low-power directional flow sensor. Proceedings of the IEEE Sensors 2004 (Vienna (Austria)). In: Proceedings of the IEEE Sensors 2004 (IEEE Cat. No.04CH37603), IEEE, 2004. 0-7803-8692-2, Vol. 2, p. 864-7.
Raskin, Jean-Pierre. Micromachining techniques for millimeter-wave devices and integrated sensors. Nefertiti Summer School Optical Architectures for RF signal processing and signal mixing (Panticosa-Huesca, Spain, du 27/09/2004 au 29/09/2004). In: Proceedings of the Nefertiti Summer School Optical Architectures for RF signal processing and signal mixing, 2004, p. 46 pages.
Poesen, G. ; Koers, G. ; Raskin, Jean-Pierre ; Huynen, Isabelle ; De Raedt, W. ; Stiens, J. ; Vounckx, R.. Models for opto-electronic controlled coplanar waveguides on high resistive BCB coated Si substrates technology up to 110 GHz. Nefertiti Summer School Optical Architectures for RF signal processing and signal mixing (Panticosa-Huesca, Spain, du 27/09/2004 au 29/09/2004). In: Proceedings of the Nefertiti Summer School Optical Architectures for RF signal processing and signal mixing, 2004, p. Paper 18.
Pailloncy, G. ; Iniguez, B. ; Dambrine, G. ; Dehan, Morin ; Raskin, Jean-Pierre. Modélisation de bruit et performances de MOSFETs SOI totalement désertés. Workshop action spécifique bruit – Bruit en régime linéaire et non-linéaire dans les composants et circuits de télécommunications (La Grande Motte, France, du 07/06/2004 au 08/06/2004). In: Proceedings of the Workshop action spécifique bruit – Bruit en régime linéaire et non-linéaire dans les composants et circuits de télécommunications, 2004, pp. 27-28.
Pailloncy, G. ; Iniguez, B. ; Dambrine, G. ; Dehan, Morin ; Raskin, Jean-Pierre. Noise Modeling and Performance in 0.15 µm Fully Depleted SOI MOSFET. SPIE Second International Symposium on Fluctuations and Noise (Gran Canaria, Spain, du 25/05/2004 au 28/05/2004). In: Proceedings of SPIE vol. 5470, Noise in Devices and Circuits II, 2004, pp. 122-130.
Danneville, F. ; Pailloncy, G. ; Iniguez, B. ; Raskin, Jean-Pierre ; Dambrine, G.. Noise modeling and performance of SOI MOSFETs. IEEE MTT-S International Microwave Symposium – Workshop on high frequency noise in advanced Silicon-based devices: from basics to state-of-the-art device and circuit performances (Fort Worth, Texas, USA, du 06/06/2004 au 11/06/2004). In: Proceedings of the IEEE MTT-S International Microwave Symposium – Workshop on high frequency noise in advanced Silicon-based devices: from basics to state-of-the-art device and circuit performances, 2004, p. Paper WSN2.
Parvais, Bertrand ; Cerdeira, A. ; Schreurs, D. ; Raskin, Jean-Pierre. Nonlinear performance comparison for FD and PD SOI MOSFETs based on the Integral Function Method and Volterra modeling. MOS-AK/ESSCIRC Compact Modeling Workshop (Leuven, Belgium, 20/09/2004). In: Proceedings of the MOS-AK/ESSCIRC Compact Modeling Workshop, 2004, p. 19 pages.
Flandre, Denis ; Pampin, R. ; Hagelsieb, L. M. ; Laurent, G. ; Raskin, Jean-Pierre ; Foultier, B. ; Remacle, J.. On-chip DNA electrical detection based on Si-CMOS compatible Al capacitors and inductors coated with metal oxides. First Focused Workshop on Electronic Recognition of DNA Molecules (Liège (Belgium), du 01/09/2004 au 03/09/2004). In: Proceedings of the The First Focused Workshop on Electronic Recognition of DNA Molecules, 2004, 36 pages.
Koers, G. ; Stiens, J. ; Poesen, G. ; Simon, Pascal ; Raskin, Jean-Pierre ; Huynen, Isabelle ; De Raedt, W. ; Vounckx, R.. Optical Modulation of CPW lines on High Resistive BCB coated Si substrates up to 110 GHz. Workshop Microwave Photonics (York, United Kingdom, du 03/02/2004 au 05/02/2004). In: Proceedings of the Workshop Microwave Photonics, 2004, p. paper 16.
Stiens, J. ; Poesen, G. ; Koers, G. ; Simon, Pascal ; Raskin, Jean-Pierre ; Huynen, Isabelle ; Vounckx, R.. Opto-electronic control of coplanar transmission lines up to 110 GHz. International Symposium Photonics Europe (Strasbourg, France, du 26/04/2004 au 30/04/2004). In: SPIE Proceedings 5466, Microwave and Terahertz Photonics, 2004, pp. 101-108.
Huynen, Isabelle ; Saib, Aimad ; Raskin, Jean-Pierre ; Dardenne, X. ; Craeye, Christophe. Periodic metamaterials combining ferromagnetic dielectric and/or metallic structures for planar circuits applications. Bianisotropics 2004 – 10th Conference on Complex Media and Metamaterials (Ghent, Belgium, du 22/09/2004 au 24/09/2004). In: Proceedings of the Bianisotropics 2004 – 10th Conference on Complex Media and Metamaterials, 2004, pp. 212-219.
Kilchytska, Valeriya ; Collaert, N. ; Rooyackers, R. ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Flandre, Denis. Perspective of FinFETs for analog applications. 34rd European Solid-State Device Research Conference (ESSDERC 2004) (Leuven (Belgium), du 21/09/2004 au 23/09/2004). In: Proceedings of the 34rd European Solid-State Device Research Conference (ESSDERC 2004), IEEE, 2004. 0-7803-8478-4, 65-68. doi:10.1109/ESSDER.2004.1356489.
Iker, François ; Si Moussa, Mehdi ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. RF circuits and MEMS devices in SOI thin film technology. International Summer School on Advanced Microelectronics-Grenoble, MIGAS’2004 – Silicon-on-Insulator: Technology and Devices (Grenoble, France, du 26/06/2004 au 02/07/2004). In: Proceedings of the International Summer School on Advanced Microelectronics-Grenoble, MIGAS’2004 – Silicon-on-Insulator: Technology and Devices, 2004, p. Poster.
Denef, Nicolas ; Moreno Hagelsieb, Luis ; Laurent, G. ; Foultier, Boris ; Remacle, J. ; Flandre, Denis ; Raskin, Jean-Pierre. RF detection of DNA based on CMOS inductive and capacitive sensors. 34th European Microwave Week 2004 (EuMC 2004) (Amsterdam (The Netherlands), du 11/10/2004 au 15/10/2004). In: Proceedings of the 34th European Microwave Week 2004 (EuMC 2004), IEEE, 2004. 1-58053-992-0, 669-672.
Denef, N. ; Moreno Hagelsieb, Luis ; Laurent, G. ; Pampin, Rémi ; Foultier, B. ; Remacle, B. ; Flandre, Denis ; Raskin, Jean-Pierre. RF detection of DNA based on CMOS inductive and capacitive sensors. 34th European Microwave Conference (Amsterdam (the Netherlands)). In: Conference Proceedings. 34th European Microwave Conference (IEEE Cat.No.04EX963), Horizon house publications ltd, 2004, Vol. 2, p. 669-72.
Huynen, Isabelle ; Saib, Aimad ; Laurent, G. ; Raskin, Jean-Pierre ; Dardenne, X. ; Craeye, Christophe. Research at UCL in the field of metamaterials for planar circuit and antenna applications. 1st Workshop of the Metamorphose Network of Excellence (Louvain-la-Neuve, Belgium and Lille, France, du 24/11/2004 au 26/11/2004). In: Proceedings of the 1st Workshop of the Metamorphose Network of Excellence, 2004, p. 5.
Flandre, Denis ; Laconte, Jean ; Levacq, David ; Afzalian, Aryan ; Rue, Bertrand ; Renaux, Christian ; Iker, François ; Olbrechts, Benoît ; André, Nicolas ; Raskin, Jean-Pierre. SOI technology for single-chip harsh environment microsystems. Conference on Micro-Nano-Technologies for Aerospace Applications (CANEUS 2004) (Monterey (USA), du 01/11/2004 au 05/11/2004). In: Proceedings of the Conference on Micro-Nano-Technologies for Aerospace Applications (CANEUS 2004), 2004, 157-169.
Ivanov, Peter Tsolov ; Laconte, Jean ; Raskin, Jean-Pierre ; Stankova, M. ; Sotter, E. ; Llobet, E. ; Vilanova, X. ; Flandre, Denis ; Correig, X.. SOI-CMOS compatible low-power gas sensor using sputtered and drop-coated metal-oxide active layers. Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (DTIP 2004) (Montreux (Switzerland), du 12/05/2004 au 14/05/2004). In: Proceedings of the Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (DTIP 2004), 2004, 160-168.
Charavel, Rémy ; Raskin, Jean-Pierre. Self alignment method by buried mask implantation for Double Gate MOS fabrication and nano devices fabrication. Optical Science, Sensors and Systems Technology, Architecture, Applications (Philadelphia, Pennsylvania, USA, du 25/10/2004 au 28/10/2004). In: Proceedings of SPIE - Optical Science, Sensors and Systems Technology, Architecture, Applications, 2004, pp. 362-372.
Parvais, Bertrand ; Delatte, Pierre ; Matsuhashi, H. ; Ichikawa, F. ; Simon, Pascal ; Schreurs, Dominique ; Flandre, Denis ; Raskin, Jean-Pierre. Small- and Large-Signal RF Characterization of Fully-Depleted Accumulation-mode Varactors for Low-Voltage LC-VCO SOI Design. 2004 IEEE International SOI Conference (Charleston (USA), du 04/10/2004 au 07/10/2004). In: Proceedings of the 2004 IEEE International SOI Conference, IEEE, 2004. 0-7803-8497-0, 168-170. doi:10.1109/SOI.2004.1391602.
Laurent, G. ; Raskin, Jean-Pierre ; Huynen, Isabelle. Solutions for an electrical DNA detection. URSI Forum 2004 (Brussels, Belgium, 10/12/2004). In: Proceedings of the URSI Forum 2004, 2004, pp. 74-75.
Bertholet, Yannick ; Zhang, Xuan Xiong ; Raskin, Jean-Pierre ; Pardoen, Thomas. Steady-state measurement of fracture energy in wafer bonding. 27th Annual Meeting of The Adhesion Society - From Molecules and Mechanics to Optimization and Design of Adhesives Joints (Wilmington, North Carolina, U.S.A., du 15/02/2004 au 18/02/2004). In: Proceeedings of the 27th Annual Meeting of The Adhesion Society - From Molecules and Mechanics to Optimization and Design of Adhesives Joints , M.K. Chaudhury ed., The Adhesion Society Inc., 2004, p. 438-440.
Bertholet, Yannick ; Iker, François ; Zhang, Xuan Xiong ; Raskin, Jean-Pierre ; Pardoen, Thomas. Steady-state measurement of the interface fracture resistance in wafer bonding. 15th European Conference of Fracture (Stockholm, Sweden, August 2004). In: Proceedings of the 15th European Conference of Fracture, 2004.
Lederer, D. ; Raskin, Jean-Pierre. Temperature dependence of RF losses in HR SOI substrates. NATO Advanced Research Workshop (Kyiv (Ukraine), du 25/04/2004 au 29/04/2004). In: NATO Advanced Research Workshop, Science and Technology of Semiconductor-on-Insulator structures and devices operating in a harsh environment, 2004, 56-57.
Laconte, Jean ; Iker, François ; André, Nicolas ; Pardoen, Thomas ; Proost, Joris ; Flandre, Denis ; Raskin, Jean-Pierre. Thin films stress extraction using micromachined structures and wafer curvature measurements. Workshop on advanced microelectronics materials, Materials for Advanced Metallization (MAM 2004) (Brussels (Belgium), du 07/03/2007 au 10/03/2004). In: Proceedings of the Workshop on advanced microelectronics materials, Materials for Advanced Metallization (MAM 2004), Elsevier Science Ltd. Oxford, UK, 2004, paper 03.2.
Iniguez, Benjamin ; Flandre, Denis ; Raskin, Jean-Pierre ; Simon, Pascal ; Segura, Jaume. A review of leakage current in SOI CMOS ICs: impact on parametric testing techniques. In: Solid-State Electronics, Vol. 47, no. 11, p. 1959-1967 (2003). doi:10.1016/S0038-1101(03)00249-1.
Dehan, Morin ; Raskin, Jean-Pierre ; Huynen, Isabelle ; Vanhoenacker-Janvier, Danielle. An improved multiline analysis for monolithic inductors. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 51, no. 1, p. 100-108 (2003). doi:10.1109/TMTT.2002.806922.
Flandre, Denis ; Raskin, Jean-Pierre. Circuits et capteurs intelligents intégrés en technologie CMOS SOI pour environnements et applications hétérogènes. In: Nano et Micro Technologies, Vol. 3, no. 1-2, p. 183-200 (February 2003).
Kilchytska, Valeriya ; Flandre, Denis ; Levacq, David ; Lederer, Dimitri ; Raskin, Jean-Pierre. Floating effective back-gate effect on the small-signal output conductance of SOI MOSFETs. In: IEEE Electron Device Letters, Vol. 24, no. 6, p. 414-416 (2003). doi:10.1109/LED.2003.813373.
Kilchytska, Valeriya ; Flandre, Denis ; Neve, Amaury ; Vancaillie, Laurent ; Levacq, David ; Adriaensen, Stéphane ; van Meer, H ; De Meyer, K ; Raynaud, C. ; Dehan, Morin ; Raskin, Jean-Pierre. Influence of device engineering on the analog and RF performances of SOI MOSFETs. In: IEEE Transactions on Electron Devices, Vol. 50, no. 3, p. 577-588 (March 2003). doi:10.1106/TED.2003-810471.
Lederer, Dimitri ; Raskin, Jean-Pierre. Substrate loss mechanisms for microstrip and CPW transmission lines on lossy silicon wafers. In: Solid-State Electronics, Vol. 47, no. 11, p. 1927-1936 (2003). doi:10.1016/S0038-1101(03)00253-3.
Dambrine, G. ; Raynaud, C. ; Lederer, Dimitri ; Dehan, Morin ; Rozeaux, O ; Vanmackelberg, M ; Danneville, F. ; Lepilliet, S ; Raskin, Jean-Pierre. What are the limiting parameters of deep-submicron MOSFETs for high frequency applications?. In: IEEE Electron Device Letters, Vol. 24, no. 3, p. 189-191 (2003). doi:10.1109/LED.2003.809525.
Parvais, Bertrand ; Pallandre, Antoine ; Jonas, Alain M. ; Raskin, Jean-Pierre. A fluoro-ethoxysilane-based stiction-free release process for submicron gap MEMS. Nanotechnology Conference and Trade Show (San Francisco, CA, USA, du 23/02/2003 au 27/02/2003). In: Nanotech 2003 Vol. 1 Technical Proceedings of the 2003 Nanotechnology Conference and Trade Show, Volume 1 - Chapter 13: Wafer and MEMS Processing, 2003. 0-9728422-0-9, pp. 522-525.
Lederer, Dimitri ; Raskin, Jean-Pierre. AC analysis of floating body effects in PD SOI MOSFETs. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 18/12/2003). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2003, pp. 46-47.
Charavel, Rémy ; Laconte, J. ; Raskin, Jean-Pierre. Advantages of p/sup ++/ polysilicon etch stop layer versus p /sup ++/ silicon. Smart Sensors, Actuators, and MEMS (Maspalomas, Gran Canaria, Spain, 19-21 May 2003). In: SPIE - the International Society for Optical Engineering. Proceedings, Spie-int. soc. opt. eng, 2003, Vol. 5116, p. 699-709. doi:10.1117/12.498107.
Kranti, Abhinav ; Chung, Tsung Ming ; Flandre, Denis ; Raskin, Jean-Pierre. Analysis of laterally asymmetric channel design in fully depleted double gate (DG) SOI MOSFETs for high performance analog applications. 33rd European Solid-State Device Research Conference (ESSDERC 2003) (Estoril (Portugal), du 16/09/2003 au 18/09/2033). In: Proceedings of the 33rd European Solid-State Device Research Conference (ESSDERC 2003), 2003. 0-7803-7999-3, 131-134. doi:10.1109/ESSDERC.2003.1256828.
Laconte, Jean ; Wilmart, V. ; Raskin, Jean-Pierre ; Flandre, Denis. Capacitive Humidity Sensor Using a Polyimide Sensing Film. Symposium on Design, Test, Integration, and Packaging of MEMS/MOEMS 2003 (Mandelieu - La Napoule (France), du 05/05/2003 au 07/05/2003). In: Proceedings of the Symposium on Design, Test, Integration, and Packaging of MEMS/MOEMS 2003, IEEE, 2003. 0-7803-7066-X, 223-228. doi:10.1109/DTIP.2003.1287041.
Stiens, G. ; Koers, G. ; Poesen, G. ; Kuijk, M. ; De Raedt, W. ; Beyne, E. ; Huynen, Isabelle ; Raskin, Jean-Pierre. Challenges and perspectives for millimeter and submillimeter wave applications. International Conference on Internet, Processing, Systems, and Interdisciplinary Research 2003, IPSI-2003 (Montenegro, du 05/10/2003 au 11/10/2003). In: Proceedings of the International Conference on Internet, Processing, Systems, and Interdisciplinary Research 2003, IPSI-2003, 2003, p. 25 pages (paper 75).
Chung, Tsung Ming ; Raskin, Jean-Pierre. Characterization and modelling of quasi double-gate SOI MOSFETs. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 18/12/2003). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2003, p. 39.
Laurent, G. ; Moreno Hagelsieb, Luis ; Lederer, Dimitri ; Lobert, P.E. ; Flandre, Denis ; Remacle, Jean-François ; Raskin, Jean-Pierre. DNA electrical detection based on inductor resonance frequency in standard CMOS technology. 29th European Solid-State Device Research (ESSDERC 2003) ()Estoril (Portugal, du 16/09/2003 au 18/09/2003). In: Proceedings of the 29th European Solid-State Device Research (ESSDERC '03), IEEE, 2003. 0-7803-7999-3, 171-174. doi:10.1109/ESSCIRC.2003.1257141.
Rengel, R. ; Mateos, José ; Pardo, D. ; Gonzalez, T. ; Martin, M.J. ; Dambrine, G. ; Danneville, F. ; Raskin, Jean-Pierre. Dynamic and noise behavior of short-gate FD SOI MOSFETs: numerical and experimental analysis. Conference on Electronic Devices - CDE 2003 (Spain). In: Proceedings of the Conference on Electronic Devices - CDE 2003, 2003, pp. V-07-1 to V-07-4.
Charavel, Rémy ; Raskin, Jean-Pierre. Fabrication of self aligned double gate MOS transistor. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 18/12/2003). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2003, pp. 37-38.
Levacq, David ; Dehan, Morin ; Flandre, Denis ; Raskin, Jean-Pierre. Figures-of-Merit Of Intrinsic, Standard-Doped And Graded-Channel SOI And SOS MOSFETs For Analog Baseband And RF Applications. ECS 11th International Symposium on SOI Technology and Devices (Paris (France), du 27/04/2003 au 02/05/2003). In: Proceedings of the ECS 11th International Symposium on SOI Technology and Devices (ECS), 2003. 1-56677-375-X, 295-300.
Iker, F. ; Du Bois, B. ; De Moor, P. ; Raskin, Jean-Pierre. Finite element method simulations of MEMS bilayers. Union Radio-Scientifique Internationale (U.R.S.I.) (Palaisdes Académies, Brussels (Belgium), 18/12/2003). In: Belgian Journal of Electronics and Communications, 2003, 44-45.
Lederer, Dimitri ; Dehan, Morin ; Vanhoenacker-Janvier, Danielle ; Flandre, Denis ; Raskin, Jean-Pierre. Frequency degradation of SOI MOS device output conductance. 2003 IEEE International SOI Conference. Proceedings (Newport Beach, CA (USA), du 29/09/2003 au 02/10/2003). In: 2003 IEEE International SOI Conference. Proceedings (Cat. No.03CH37443), IEEE, 2003. 0-7803-7815-6, 76-77.
Lederer, Dimitri ; Dehan, Morin ; Vanhoenacker-Janvier, Danielle ; Flandre, Denis ; Raskin, Jean-Pierre. Frequency degradation of SOI MOS device output conductance. 2003 IEEE International SOI Conference (Newport Beach (USA), du 29/09/2003 au 02/10/2003). In: Proceedings of the 2003 IEEE International SOI Conference, IEEE, 2003. 0-7803-7815-6, 76-77. doi:10.1109/SOI.2003.1242905.
Kranti, A. ; Chung, Tsung Ming ; Raskin, Jean-Pierre. Gate length scaling and microwave performance of double gate nano-transistors. International Conference on Nano Science and Technology (ICONSAT 2003) (Kolkata, India, du 17/12/2003 au 20/12/2003). In: Proceedings of the International Conference on Nano Science and Technology (ICONSAT 2003), 2003, pp. 88-89.
Parvais, Bertrand ; Cerdeira, A. ; Schreurs, D. ; Raskin, Jean-Pierre. Harmonic distorsion characterization of SOI MOSFETs. 33nd European Microwave Week EuMW’2003 – GAAS’2003 (Munich, Germany, du 06/10/2003 au 10/10/2003). In: Proceedings of the 33nd European Microwave Week EuMW’2003 – GAAS’2003, 2003, pp. 357-360.
Lederer, Dimitri ; Desrumeaux, C. ; Brunier, F. ; Raskin, Jean-Pierre. High resistivity SOI substrates: how high should we go?. 2003 IEEE International SOI Conference. Proceedings (Newport Beach, CA, USA, 29 September-2 October 2003). In: 2003 IEEE International SOI Conference. Proceedings (Cat. No.03CH37443), IEEE, 2003. 0-7803-7815-6, p. 50-51.
Rengel, R. ; Mateos, José ; Pardo, D. ; Gonzalez, T. ; Martin, M.J. ; Dambrine, G. ; Danneville, F. ; Raskin, Jean-Pierre. High-Frequency noise in FDSOI MOSFETs: a Monte Carlo investigation. SPIE's 1st International Symposium on Fluctuations and Noise (Santa Fe, NM, USA, du 01/06/2003 au 04/06/2003). In: Proceedings of SPIE vol. 5113 Noise in Devices and Circuits, 2003, pp. 379-386.
Laconte, Jean ; Wilmart, V. ; Raskin, Jean-Pierre ; Flandre, Denis. High-sensitivity capacitive humidity sensor using 3-layer patterned polyimide sensing film. IEEE Sensors 2003 (Toronto (Canada), du 22/10/2003 au 24/10/2003). In: Proceedings of IEEE Sensors 2003, IEEE, 2003. 0-7803-8133-5, 372-377. doi:10.1109/ICSENS.2003.1278961. doi:10.1109/ICSENS.2003.1278961.
Dambrine, G. ; Raynaud, C. ; Vanmackelberg, M. ; Danneville, F. ; Pailloncy, G. ; Lepilliet, S. ; Raskin, Jean-Pierre. Impact of down scaling on high frequency noise performance of bulk and SOI MOSFETs. SPIE's 1st International Symposium on Fluctuations and Noise (Santa Fe, NM, USA, du 01/06/2003 au 04/06/2003). In: Proceedings of SPIE, SPIE International Symposium Fluctuations and Noise, 2003, pp. 105-119. doi:10.1117/12.497141.
Pavanello, Marcelo Antonio ; Martino, Joao Antonio ; Chung, Tsung Ming ; Kranti, Abhinav ; Raskin, Jean-Pierre ; Flandre, Denis. Impact of the Graded-Channel Architecture on Double Gate Transistors for High-Performance Analog Applications. 11th International Symposium Silicon-on-Insulator Technology and Devices, Electrochemical Society Meeting (Paris (France), du 27/04/2003 au 02/05/2003). In: Proceedings of the 11th International Symposium Silicon-on-Insulator Technology and Devices, 2003. 1-56677-375-X, 261-266.
Parvais, Bertrand ; Raskin, Jean-Pierre. Integral function method for the analysis of harmonic distortion of SOI MOSFETs. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 18/12/2003). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2003, pp. 9-11.
Pampin, Rémi ; Moreno Hagelsieb, Luis ; Lobert, Pierre-Emmanuel ; Géry, Laurent ; Raskin, Jean-Pierre ; Remacle, José ; Flandre, Denis. Integrated Low-Cost Micro-Sensors for DNA Sensitive Electrical Detection. ASM Conference on Bio-, Micro-, and Nanosystems (New-York (USA), du 07/07/2003 au 10/07/2003). In: Proceedings of the ASM Conference on Bio-, Micro-, and Nanosystems (Encyclopedia of Sensors), C.A.Grimes, E.C.Dickey, M.V.Pishko ed(s), 2003, 25 - Abstract n°16, 2 posters.
Kilchytska, Valeriya ; Chung, Tsung Ming ; van Meer, H. ; De Meyer, K. ; Raskin, Jean-Pierre ; Flandre, Denis. Investigation of charge control related performances in double-gate SOI MOSFETs. 11th International Symposium Silicon-on-Insulator Technology and Devices (Paris (France), 05/2003). In: Proceedings of the 11th International Symposium Silicon-on-Insulator Technology and Devices, 2003, 225-230.
Rengel, R. ; Mateos, José ; Pardo, D. ; Gonzalez, T. ; Martin, M.J. ; Dambrine, G. ; Danneville, F. ; Raskin, Jean-Pierre. Microscopic analysis of high-frequency noise behaviour of Fully-Depleted Silicon-on-Insulator MOSFETs. 17th International Conference on Noise and Fluctuations – ICNF 2003 (Prague, Czech Republic, du 18/08/2003 au 22/08/2003). In: Proceedings of the 17th International Conference on Noise and Fluctuations, ICNF 2003, 2003, pp. 585-588.
Poesen, G. ; Koers, G. ; Simon, Pascal ; Raskin, Jean-Pierre ; Huynen, Isabelle ; Stiens, J. ; Vounckx, R.. Modeling photo-induced plasmas in planar transmission lines for switching millimeter-wave signals. IEEE International Topical Meeting on Microwave Photonics - MWP 2003 (Budapest, Hungary, du 10/09/2003 au 12/09/2003). In: Proceeding of the IEEE International Topical Meeting on Microwave Photonics - MWP 2003, 2003. 0-7803-8691-4, pp. 117-120. doi:10.1109/MWP.2003.1422841.
Pailloncy, G. ; Iniguez, B. ; Raskin, Jean-Pierre ; Dambrine, G. ; Danneville, F.. Modélisation du bruit pour des MOSFETs 0.25 µm totalement désertés. XIIIème Journées Nationales Microondes (Lille, France, du 21/05/2003 au 23/05/2003). In: Proceedings of the XIIIème Journées Nationales Microondes, 2003, pp. 588-591 (paper 6A-3).
Pailloncy, G. ; Dambrine, G. ; Danneville, F. ; Iniguez, B. ; Raskin, Jean-Pierre. Noise modelling of 0.25 µm fully depleted SOI MOSFETs. 17th International Conference on Noise and Fluctuations – ICNF 2003 (Prague, Czech Republic, du 18/08/2003 au 22/08/2003). In: Proceedings of the 17th International Conference on Noise and Fluctuations, INCF 2003, 2003, pp. 577-580.
Dehan, Morin ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Nouvelle procédure d’extraction des éléments du circuit équivalent des transistors MOS SOI submicroniques. XIIIème Journées Nationales Microondes (Lille, France, du 21/05/2003 au 23/05/2003). In: Proceedings of the XIIIème Journées Nationales Microondes, 2003, pp. 116-119 (paper 1D-4).
Pavanello, Marcelo Antonio ; Martino, Joao Antonio ; Chung, Tsung Ming ; Kranti, Abhinav ; Raskin, Jean-Pierre ; Flandre, Denis. Operation of double gate graded-channel transistors at low temperatures. Seventh International Symposium on Low Temperature Electronics - as a part of the 204th Meeting of The Electrochemical Society (Orlando (USA), du 12/10/2003 au 16/10/2003). In: Proceedings of the Seventh International Symposium on Low Temperature Electronics, 2003. 1-56677-412-8, 50-60.
Jorez, S. ; Laconte, J. ; Raskin, Jean-Pierre ; Cornet, A. ; Grauby, S. ; Dilhaire, S. ; Claeys, W.. Optical studies for MEMS thermomechanical characterization. Photomec'02 (Louvain-la-Neuve (Belgium), du 20/02/2003 au 21/02/2003).
Parvais, B. ; Siligaris, A. ; Cerderia, A. ; Raskin, Jean-Pierre. Oscillateurs micro-ondes à faible consommation en technologie CMOS/SOI : de l’étude des dispositifs aux performances. XIIIème Journées Nationales Microondes (Lille (France), du 21/05/2003 au 23/05/2003). In: Proceedings XIIIeme Journées Nationales Microondes, 2003, p. 514.
Dehan, Morin ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Partially depleted SOI dynamic threshold MOSFET for low-voltage and microwave applications. 203rd Meeting of the Electrochemical Society – 11th Int. Symp. on SOI technology and devices (Paris, France, du 27/04/2003 au 02/05/2003). In: Proceedings of the 203rd Meeting of the Electrochemical Society – 11th Int. Symp. on SOI technology and devices, 2003, pp. 289-294.
Lederer, Dimitri ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Pertes diélectriques et conducteur dans les guides d’onde coplanaires réalisés sur substrat de silicium haute résistivité. XIIIème Journées Nationales Microondes (Lille, France, du 21/05/2003 au 23/05/2003). In: Proceedings of the XIIIème Journées Nationales Microondes, 2003, pp. 244-247 (paper 2A2-2).
Zhang, Xiaodong ; Raskin, Jean-Pierre. Pretreatment effects on void formation for low temperature Si-Si bonded wafers. Seventh Int. Symp. on Semiconductor Wafer Bonding; science, technology and applications, 203rd Meeting of the Electrochemical Society (Paris, France, du 27/04/2003 au 02/05/2003). In: Proceedings of the Seventh Int. Symp. on Semiconductor Wafer Bonding; science, technology and applications, 203rd Meeting of the Electrochemical Society, 2003, pp. 233-238.
Charavel, Rémy ; Olbrechts, Benoit ; Raskin, Jean-Pierre. Stress release of PECVD oxide by RTA. Smart Sensors, Actuators, and MEMS (Maspalomas, Gran Canaria, Spain, 19-21 May 2003). In: SPIE - the International Society for Optical Engineering. Proceedings, Spie-int. soc. opt. eng, 2003, Vol. 5116, p. 596-606. doi:10.1117/12.498098.
Cerdeira, Antonio ; Aleman, Miguel A. ; Estrada, M. ; Flandre, Denis ; Parvais, Bertrand ; Raskin, Jean-Pierre ; Picun, Gonzalo. The Integral Function Method: A New Method to Determine the Non-linear Harmonic Distortion. 18th International symposium on microelectronics technology and devices 5sbmicro 2003) (São Paulo (Brazil), du 08/09/2003 au 11/09/2003). In: Proceedings of the 18th International symposium on microelectronics technology and devices 5sbmicro 2003), IEEE, 2003. 1-56677-389-X, 131-146.
Vanmackelberg, M. ; Raynaud, Christine ; Faynot, O. ; Pelloie, J.-L. ; Tabone, C. ; Grouillet, A. ; Martin, F. ; Dambrine, Gilles ; Picheta, L. ; Mackowiak, E. ; Llinares, P. ; Sevenhans, J. ; Compagne, E. ; Fletcher, G. ; Flandre, Denis ; Dessard, Vincent ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. 0.25 µm Fully-Depleted SOI MOSFET's for RF mixed analog-digital circuits, including a comparison with Partially-Depleted devices for High Frequency noise parameters. In: Solid-State Electronics, Vol. 46, no. 3, p. 379-386 (2002). doi:10.1016/S0038-1101(01)00120-4.
Ellis, Thomas J. ; Raskin, Jean-Pierre. A broadband CPW-to-microstrip modes coupling technique. In: International Journal of Infrared and Millimeter Waves, Vol. 23, no. 9, p. 1357-1369 (2002). doi:10.1023/A:1020201709868.
Quevy, E ; Flandre, Denis ; Parvais, Bertrand ; Raskin, Jean-Pierre ; Buchaillot, L. ; Collard, D.. A modified Bosch-type process for precise surface micromachining of polysilicon. In: Journal of Micromechanics and Microengineering, Vol. 12, no. 3, p. 328-333 (2002). doi:10.1088/0960-1317/12/3/320.
Dehan, Morin ; Raskin, Jean-Pierre. An asymmetric channel SOI nMOSFET for improving DC and microwave characteristics. In: Solid-State Electronics, Vol. 46, no.Issue 7, p. 1005-1011 (July 2002). doi:10.1016/S0038-1101(02)00034-5.
Parvais, Bertrand ; Torrese, G. ; Cerderia, A. ; Schreurs, D. ; Raskin, Jean-Pierre. Comparison of harmonic distortion characterization techniques for SOI MOSFETs. In: Acta Technica Belgica. Revue H F: Electricite Courants Faibles. Electronique Telecommunications, no. 4, p. 43-55 (2002).
Iniguez, B. ; Raskin, Jean-Pierre. Deep-submicron drain current to radio frequency silicon on insulator metal oxide semiconductor field-effect transistor macromodel for designing microwave circuits. In: International Journal of R F and Microwave Computer-Aided Engineering, Vol. 12, no. 5, p. 428-438 (2002). doi:10.1002/mmce.10045.
Rengel, R ; Mateos, J. ; Pardo, D ; Gonzalez, T. ; Martin, MJ ; Dambrine, G. ; Danneville, F. ; Raskin, Jean-Pierre. Numerical and experimental study of a 0.25 mu m fully-depleted silicon-on-insulator MOSFET: static and dynamic radio-frequency behaviour. In: Semiconductor Science and Technology, Vol. 17, no. 11, p. 1149-1156 (2002). doi:10.1088/0268-1242/17/11/303.
Torrese, G. ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Vander Vorst, André. Numerical simulation of high-speed p-i-n photodiodes under large illumination power. In: Acta Technica Belgica. Revue H F: Electricite Courants Faibles. Electronique Telecommunications, no. 2, p. 68-70 (2002).
Flandre, Denis ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. SOI CMOS Transistors for RF and Microwave Applications. In: Deen M. Jamal et Fjeldly Tor A (eds.), CMOS RF modeling, characterization and applications (Selected Topics in Electronics and Systems; 24), Word Scientific Publishing Co: New Jersey, 2002, p. 273-362. 981-02-4905-5.
Vanmackelberg, M ; Raynaud, C. ; Faynot, O. ; Pelloie, JL ; Tabone, C ; Grouillet, A ; Martin, F. ; Dambrine, G. ; Picheta, L. ; Mackowiak, E ; Llinares, P ; Sevenhans, J ; Compagne, E ; Fletcher, G ; Flandre, Denis ; Dessard, V. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. 0.25 mu m fully depleted SOI MOSFETs for RF mixed analog-digital circuits, including a comparison with partially depleted devices with relation to high frequency noise parameters. 1st European Workshop on Ultimate Integration of Silicon (ULIS 2000) (Grenoble (France)). In: Solid-State Electronics, Vol. 46, no. 3, p. 379-386 (2002). In: Proceedings of the 1st European Workshop on Ultimate Integration of Silicon (ULIS 2000), Pergamon-elsevier Science Ltd: Oxford, 2002.
Dehan, Morin ; Raskin, Jean-Pierre. An asymmetric channel SOI nMOSFET for improving DC and microwave characteristics. 2nd European Workshop on Ultimate Integration of Silicon (ULIS 2001) (GRENOBLE(France), Jan 18-19, 2001). In: Solid-State Electronics, Vol. 46, no. 7, p. 1005-1011 (2002).
Lederer, Dimitri ; Raskin, Jean-Pierre. Analysis of shunt and series substrate losses for CPW transmission lines made on lossy silicon wafers. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 13/12/2002). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2002, p. 1 page.
Dehan, Morin ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Characterization and modeling of integrated inductors and alternative MOSFETs in SOI technology. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 13/12/2002). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2002, p. 3 pages.
Chung, Tsung Ming ; Raskin, Jean-Pierre. Characterization and modelling of graded channel single gate and gate-all-around SOI MOSFETs. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 13/12/2002). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2002, p. 1 page.
Dehan, Morin ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Comparison of different extraction methods of small-signal parameters for SOI MOSFETs. 32nd European Microwave Conference, EuMC 2002 (Milano, Italy, du 23/09/2002 au 27/09/2002). In: Proceedings of the 32nd European Microwave Conference, EuMC 2002, 2002, pp. 227-230. doi:10.1109/EUMA.2002.339268.
Iker, François ; Raskin, Jean-Pierre. Development and characterization of microsystems in thin film SOI technology. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 13/12/2002). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2002, p. 1 page.
Charavel, Rémy ; Raskin, Jean-Pierre. Fabrication of self aligned double gate MOS transistor. Union Radio-Scientifique Internationale (U.R.S.I.) (Brussels, Belgium, 13/12/2002). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2002, p. 1 page.
Laconte, Jean ; Dupont, C. ; Akheyar, A. ; Raskin, Jean-Pierre ; Flandre, Denis. Fully CMOS compatible low-power microheater. Design, Test, Integration, and Packaging of MEMS/MOEMS 2002 (Cannes (France), du 05/05/2002 au 08/05/2002). In: Proceedings of SPIE - the International Society for Optical Engineering, Spie-int. soc. opt. eng, 2002. 0-8194-4518-5, 634-644.
Parvais, B. ; Torrese, G. ; Cerderia, A. ; Schreurs, D. ; Raskin, Jean-Pierre. Harmonic distorsion characterization techniques for SOI MOSFETs. Union Radio-Scientifique Internationale (U.R.S.I.) (Royal Military Academy, Brussels (Belgium), 13/12/2002).
Vancaillie, Laurent ; Kilchytska, Valeriya ; Levacq, David ; Adriaensen, Stéphane ; van Meer, Hans ; De Meyer, K. ; Torrese, Guido ; Raskin, Jean-Pierre ; Flandre, Denis. Influence of HALO implantation on analog performances and comparison between bulk, Partially-Depleted and Fully-Depleted MOSFETs. 2002 IEEE International SOI Conference (Wiliamsburg (USA), du 07/10/2002 au 10/10/2002). In: Proceedings of the 2002 IEEE International SOI Conference, IEEE, 2002. 0-7803-7439-8, 161-162. doi:10.1109/SOI.2002.1044459.
Kilchytska, Valeriya ; Levacq, David ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Flandre, Denis. Influence of the substrate on the small-signal characteristics of SOI MOSFETs. GSEC Graduate School in Electronics and Communications (Belgium, 11/2002).
Flandre, Denis ; Adriaensen, Stéphane ; Afzalian, Aryan ; Laconte, Jean ; Levacq, David ; Renaux, Christian ; Vancaillie, Laurent ; Raskin, Jean-Pierre ; Demeûs, Laurent ; Delatte, Pierre ; Dessard, Vincent ; Picun, G.. Intelligent SOI CMOS Integrated Circuits and Sensors for Heterogeneous Environments and Applications. IEEE Sensors 2002 (Orlando (USA), du 12/06/2002 au 14/06/2002). In: Proceedings of the IEEE Sensors 2002, 2002. 0-7803-7454-1, p. 1407-1412. doi:10.1109/ICSENS.2002.1037327.
Koers, G. ; Poesen, G. ; Simon, Pascal ; Raskin, Jean-Pierre ; Stiens, J. ; Huynen, Isabelle ; Vounckx, R.. Photo-induced switching of microwave and millimeter-wave signals on coplanar waveguides. Seventh Annual Symposium of the IEEE/LEOS Benelux chapter (Amsterdam, Netherlands, 09/12/2002). In: Proceedings of Seventh Annual Symposium of the IEEE/LEOS Benelux chapter, 2002, pp. 257-260.
Laconte, Jean ; Dupont, C. ; Flandre, Denis ; Raskin, Jean-Pierre. SOI CMOS compatible low-power microheater optimization and fabrication for smart gas sensor implementations. IEEE SENSORS 2002 conference (Orlando (USA), du 12/06/2002 au 14/06/2002). In: Proceedings of IEEE Sensors 2002. First IEEE International Conferenceon Sensors (Cat. No.02CH37394), IEEE, 2002. 0-7803-7454-1, 1395-400. doi:10.1109/ICSENS.2002.1037325.
Iniguez, B. ; Danneville, F. ; Raskin, Jean-Pierre. SOI MOS models. Biannual Agilent Workshop on modeling – IC-CAP 2002 (Berlin, Germany, du 13/03/2002 au 14/03/2002). In: Proceedings of the Biannual Agilent Workshop on modeling – IC-CAP 2002, 2002, p. 30 pages.
Raskin, Jean-Pierre. SOI for RFIC Design. 3rd International Symposium on Quality Electronic Design – IEEE ISQED 2002 (San Jose, CA, USA, du 18/03/2002 au 20/03/2002). In: Proceedings of the 3rd International Symposium on Quality Electronic Design – IEEE ISQED 2002, 2002, pp. 1-55.
Bertholet, Y. ; Iker, F. ; Raskin, Jean-Pierre ; Pardoen, Thomas. Steady state measurement of wafer bonding fracture resistance. Eurosensors XVI, The 16th European Conference on Solid-State Transducers (Prague, du 15/09/2002 au 18/09/2002). In: Proceedings of the 16th European Conference on Solid-State Transducers, 2002.
Bertholet, Y. ; Iker, François ; Raskin, Jean-Pierre ; Pardoen, Thomas. Steady-state measurement and modelling of wafer bonding failure resistance. 16th European Conference on Solid-State Transducers (Prague, Czech Republic, du 15/09/2002 au 18/09/2002). In: Proceedings of the 16th European Conference on Solid-State Transducers, 2002, p. 1 page.
Kilchytska, Valeriya ; Levacq, David ; Lederer, Dimitri ; Raskin, Jean-Pierre ; Flandre, Denis. Substrate effects on the small-signal characteristics of SOI MOSFET's. 32nd European Solid-State Device Research Conference (ESSDERC 2002) (Florence (Italy), du 24/09/2002 au 26/09/2002). In: Proceedings of the 32nd European Solid-State Device Research Conference (ESSDERC 2002), IEEE, 2002. 88-900847-8-2, 519-522. doi:10.1109/ESSDERC.2002.194982.
Lederer, Dimitri ; Raskin, Jean-Pierre. Substrate loss mechanisms for microstrip and CPW transmission lines on lossy silicon wafers. International Microwave Symposium – IMS 2002 (Seattle, Washington, USA, du 02/06/2002 au 07/06/2002). In: Proceedings of the International Microwave Symposium – IMS 2002, 2002, pp. 685-688.
Nève, Amaury ; Raskin, Jean-Pierre ; Flandre, Denis. Fabrication method of semiconductor devices . Numéro de priorité ; Déposé (26/03/2001) ; Publié (25/03/2002). Belgique.
Iniguez, Benjamin ; Flandre, Denis ; Raskin, Jean-Pierre ; Demeus, Laurent ; Neve, Amaury ; Vanhoenacker-Janvier, Danielle ; Simon, Pascal ; Goffioul, M.. Deep-submicrometer DC-to-RF SOI MOSFET macro-model. In: IEEE Transactions on Electron Devices, Vol. 48, no. 9, p. 1981-1988 (2001). doi:10.1109/16.944186.
Raskin, Jean-Pierre ; Laconte, P. ; Akheyar, Amal ; Adriaensen, Stéphane ; Nève, A. ; Martinez, I. ; Dehan, M. ; Parvais, Bertrand ; Vanhoenacker-Janvier, Danielle ; Demeûs, L. ; Delatte, P. ; Dessard, Vincent ; Flandre, Denis. Fully-Depleted SOI CMOS Technology for Heterogeneous Micropower, High-Temperature or RF Microsystems. In: Belgian Journal of Electronics & communications, no. 2, p. 53-68 (July 2001).
Raskin, Jean-Pierre. Microelectromechanical Systems: from microfabrication techniques to smart sensors. In: Belgian Journal of Electronics & Communications, , no.2, p. 2-8 (July 2001).
Raskin, Jean-Pierre. Micromachined W-Band Passive Components for communication applications. In: MST News, , no.2/01, p. 13-15 (April 2001).
Flandre, Denis ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. SOI CMOS transistors for RF and microwave applications. In: International Journal of High Speed Electronics, Vol. 11, no. 4, p. 1159-1248 (2001). doi:10.1142/S0129156401001076.
Iniguez, Benjamin ; Raskin, Jean-Pierre ; Demeûs, Laurent ; Nève de Mévergnies, Amaury ; Goffioul, Michael ; Simon, Pascal ; Vanhoenacker-Janvier, Danielle ; Flandre, Denis. A new fully-depleted SOI MOSFET macro-model valid from DC to RF. Tenth International Symposium on Silicon-on-Insulator Technology and Devices (Washington, DC (USA), du 25/03/2001 au 30/03/2001). In: Electrochemical Society. Proceedings, no. 3, p. 193-198 (March 2001). In: Proceedings of the Tenth International Symposium on Silicon-on-Insulator Technology and Devices, Electrochemical Society, Inc., 2001.
Dehan, Morin ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Alternative architectures of SOI MOSFET for improving DC and microwave characteristics. Proceedings of 31st European Microwave Conference (London, UK, 24-28 September 2001). In: 31st European Microwave Conference 2001. Conference Proceedings, Microwave eng. europe, 2001, Vol. 1, p. 21-4 .
Dehan, M. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. An asymmetric channel SOI nMOSFET for improving DC and microwave characteristics. Ultimate Integration of Silicon - ULIS'2001 Workshop (Grenoble (France), du 18/01/2001 au 19/01/2001).
Torrese, Guido ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Vander Vorst, André. Analysis and design of p-i-n travelling wave photodetectors for high power and wide-bandwidth applications. 6th Annual Meeting of the IEEE/LEOS Benelux Chapter (Brussels, Belgium, 03/12/2001). In: Proceedings of the 6th Annual Meeting of the IEEE/LEOS Benelux Chapter, 2001, pp. 93-96.
Iniguez, Benjamin ; Raskin, Jean-Pierre ; Simon, Pascal ; Flandre, Denis ; Segura, J.. Analysis and future trends of Iddq testing for silicon on insulator CMOS ICs. 2001 IEEE International Workshop on Current and Defect Based Testing (DBI'2001) (Los Angeles (USA), 29/04/2001). In: Proceedings of the 2001 IEEE International Workshop on Current and Defect Based Testing (DBI'2001), IEEE, 2001, 40-44.
Toresse, G. ; Clerckx, Bruno ; Raskin, Jean-Pierre ; Vander Vorst, André. Analytical models for high bit-rate lightwave system. Sixth Annual Symposium of the IEEE/LEOS Benelux chapter (Brussels, Belgium, 03/12/2001). In: Proceedings of the Sixth Annual Symposium of the IEEE/LEOS Benelux chapter, 2001, pp. 89-92.
Laconte, J. ; Akheyar, A. ; Parvais, B. ; Afzalian, A. ; Dupont, C. ; Remus, V. ; Raskin, Jean-Pierre ; Flandre, Denis. Co-intégration de nouvelles techniques de micro-fabrication et du procédé CMOS/SOI standard en vue de réaliser des microsystèmes. Les MEMS : quelles compétences pour quels secteurs en Wallonie ? (Casteau Resort Hotel, Mons (Belgium), 26/01/2001).
Iniguez, B. ; Goffioul, Michael ; Parvais, Bertrand ; Raskin, Jean-Pierre. Deep-submicron DC to RF SOI MOSFET macro-model for designing non-linear RF circuits. 6th International Workshop on Integrated Nonlinear Microwave and Millimeterwave circuits INMMC’2001 (Leuven, Belgium, du 20/09/2001 au 21/09/2001). In: Proceedings of the 6th International Workshop on Integrated Nonlinear Microwave and Millimeterwave circuits INMMC’2001, 2001, p. 2 pages.
Parvais, Bertrand ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Design of integrated voltage-controlled-oscillators in CMOS/SOI technology. Union Radio-Scientifique Internationale (U.R.S.I.) (Louvain-la-Neuve, Belgium, 00/12/2001). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2001, p. 41.
Flandre, Denis ; Adriaensen, Stéphane ; Akheyar, A. ; Crahay, André ; Demeus, L. ; Delatte, Pierre ; Dessard, V. ; Iniguez, B. ; Neve, A. ; Katschmarskyj, Bohdan ; Loumaye, Pierre ; Laconte, J. ; Martinez, I ; Picun, G. ; Rauly, E. ; Renaux, Christian ; Spote, David ; Zitout, Miloud ; Dehan, Morin ; Parvais, Bertrand ; Simon, Pascal ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Fully depleted SOI CMOS technology for heterogeneous micropower, high-temperature or RF microsystems. European Meeting on Silicon-on-Insulator Devices (EUROSOI-2000) (Granada (Spain), du 25/10/2000 au 27/10/2000). In: Solid-State Electronics, Vol. 45, no. 4, p. 541-549 (2001). In: , 2001. doi:10.1016/S0038-1101(01)00084-3.
Marenk, M. ; Raskin, Jean-Pierre ; Ristolainen, E.. High-frequency modeling of SOI transistors with BSIM3SOI. ECCTD'O1- "Circuit Paradigm in the 21st Century" (Espoo (Finland), du 28/08/2001 au 31/08/2001).
Raskin, Jean-Pierre. Introduction aux micro-systèmes (MEMS). Micro & Nano: What challenges for the industry?, Workshop (Louvain-la-Neuve, Belgium, 28/09/2001). In: Proceedings of the Micro & Nano: What challenges for the industry?, Workshop, 2001, pp. 1-65.
Dehan, Morin ; Parvais, Bertrand ; Dambrine, G. ; Raskin, Jean-Pierre. Intérêts de la technologie CMOS SOI pour les applications micro-ondes faible tension faible consommation. 3ème Journées Francophones d’Etudes Faible Tension Faible Consommation FTFC’2001 (Paris, France, du 30/05/2001 au 31/05/2001). In: Proceedings des 3ème Journées Francophones d’Etudes Faible Tension Faible Consommation FTFC’2001, 2001, pp. 63-72.
Iniguez, B. ; Raskin, Jean-Pierre ; Simon, Pascal ; Flandre, Denis ; Segura, J.. Leakage components in fully-depleted SOI CMOS technology: implications on IDDQ testing. 2001 IEEE International Workshop on Defect Based Testing (DBT 2001) (Marina del Rey, Los Angeles (USA), 29/04/2001).
Vanmackelberg, M. ; Raynaud, C. ; Raskin, Jean-Pierre ; Bracale, A. ; Jomaah, J. ; Dambrine, G.. Les MOS en technologie SOI pour les applications hyperfréquences : “Partially Depleted” ou “Fully Depleted" ?. Journées Nationales Micro-ondes – JNM’2001 (Poitiers, France, du 16/05/2001 au 18/05/2001). In: Proceedings of the Journées Nationales Micro-ondes – JNM’2001, 2001, p. 2 pages (paper 3C-3).
Laconte, J. ; Akheyar, A. ; Flandre, Denis ; Raskin, Jean-Pierre. Microsystems in SOI Technology. Electralis 2001 (THe Campus, Liège (Belgium), du 14/03/2001 au 17/03/2001).
Saib, Aimad ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Crahay, André ; Huynen, Isabelle. Microwave tunable filters and non reciprocal devices using magnetic nanowires. 1st IEEE Conference on Nanotechnology (IEEE-NANO2001) (Maui, Hawai, USA, du 28/10/2001 au 30/10/2001). In: Proceedings of the 1st IEEE Conference on Nanotechnology (IEEE-NANO2001), 2001, pp. 260-265, session M2.1 : Nano-devices II.
Saib, Aimad ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre ; Crahay, André ; Huynen, L.. Microwave tunable filters and nonreciprocal devices using magnetic nanowires. Proceedings of the 2001 1st IEEE Conference on Nanotechnology. IEEE-NANO 2001 (Maui, HI, USA, 28-30 October 2001). In: Proceedings of the 2001 1st IEEE Conference on Nanotechnology.IEEE-NANO 2001 (Cat. No.01EX516), IEEE, 2001. 0-7803-7215-8, p. 260-265. doi:10.1109/NANO.2001.966430.
Torrese, Guido ; Huynen, Isabelle ; Raskin, Jean-Pierre ; Vander Vorst, André. Numerical simulation of high-speed p-i-n photodiodes under large illumination power. Union Radio-Scientifique Internationale (U.R.S.I.) (Louvain-la-Neuve, Belgium, 00/12/2001). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2001, pp. 6-8.
Parvais, Bertrand ; Goffioul, Michael ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Oscillateurs RF intégrés en technologie CMOS/SOI. 12èmes Journées Nationales Micro-ondes – JNM’2001 (Poitiers, France, du 16/05/2001 au 18/05/2001). In: Proceedings des 12èmes Journées Nationales Micro-ondes – JNM’2001, 2001, p. 2 pages (paper 4D-18).
Dehan, Morin ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. SOI MOSFET architecture for improving DC and microwave characteristics. Union Radio-Scientifique Internationale (U.R.S.I.) (Louvain-la-Neuve, Belgium, 00/12/2001). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2001, p. 21.
Flandre, Denis ; Adriaensen, S. ; Akheyar, A. ; Demeûs, L. ; Delatte, P. ; Dessard, V. ; Iniguez, B. ; Nève, A. ; Laconte, J. ; Picun, G. ; Rauly, E. ; Renaux, Christian ; Dehan, M. ; Parvais, B. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Sensors implementations in Silicon-on-Insulator CMOS compatible technology for micropower, radio-frequency or high-temperature applications. Colloque Micro/nano: quels défis pour l’industrie ? (Louvain-la-Neuve (Belgium), 28/09/2001). In: Proceedings du Colloque Micro/nano: quels défis pour l’industrie ?, 2001.
Lederer, Dimitri ; Raskin, Jean-Pierre. Substrate loss mechanisms for microstrip and CPW transmission lines on lossy silicon wafers. Union Radio-Scientifique Internationale (U.R.S.I.) (Louvain-la-Neuve, Belgium, 00/12/2001). In: Proceedings of the Union Radio-Scientifique Internationale (U.R.S.I.), 2001, p. 18.
Iniguez, Benjamin ; Raskin, Jean-Pierre ; Simon, Pascal ; Flandre, Denis ; Segura, Jaume. Testing SOI CMOS IC's with Parametric Testing Methods: a Fundamental Analysis. XVI Conference on Design of Circuits and Integrated Systems (DCIS 2001) (Porto (Portugal), du 20/11/2001 au 23/11/2001). In: Proceedings of the XVI Conference on Design of Circuits and Integrated Systems (DCIS 2001), 2001, 636-640.
Gauthier, GP ; Raskin, Jean-Pierre ; Rebeiz, GM. A 140-170-GHz Low-Noise Uniplanar Subharmonic Schottky-Receiver. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 48, no. 8, p. 1416-1419 (2000). doi:10.1109/22.859491.
Raskin, Jean-Pierre ; Brown, A. ; Khuri-Yakub, B.T. ; Rebeiz, G.M.. A novel parametric-effect MEMS amplifier. In: IEEE Journal of Microelectromechanical Systems, Vol. 9, no. 4, p. 528-537 (December). doi:10.1109/84.896775.
Raskin, Jean-Pierre ; Gilon, R ; Dambrine, G. ; Chen, J. ; Vanhoenacker-Janvier, Danielle ; Colinge, JP.. Accurate characterization of silicon-on-insulator MOSFETs for the design of low-voltage, low-power RF integrated circuits. In: Analog Integrated Circuits and Signal Processing, Vol. 25, no. 2, p. 133-155 (2000). doi:10.1023/A:1008380615900.
Goffioul, Michael ; Dambrine, Gilles ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Comparison of microwave performances for fully and partially depleted sub-quarter micron SOI MOSFET’s. In: Journal of Telecommunications and Information Technology, Vol. 3, no.4, p. 72-80 (December 2000).
Goffioul, Michael ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Direct extraction techniques of technological parameters and microwave small-signal model for sub-quarter micron SOI MOSFET’s. In: Research Journal Telecommunication and Information Technology, Vol. 3, no.4, p. 59-66 (December 2000).
Raskin, Jean-Pierre ; Gauthier, G. ; Katehi, L.P.B. ; Rebeiz, G.M.. Mode conversion at CPW-to-microstrip line transitions. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 48, no.1, p. 158-160 (January 2000). doi:10.1109/22.817486.
Raskin, Jean-Pierre ; Gauthier, G. ; Katehi, L. P.B. ; Rebeiz, G.M.. W-Band Single Layer Vertical Transitions. In: IEEE Transactions on Microwave Theory and Techniques, Vol. 48, no.1, p. 161-164 (January 2000). doi:10.1109/22.817487.
Raynaud, Christine ; Flandre, Denis ; Dessard, Vincent ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. 70 GHz fmax fully-depleted SOI MOSFET's for low-power wireless applications. 30th European Microwave Week GaAS 2000 (Paris (France), du 02/10/2000 au 06/10/2000). In: Proceedings of the 30th European Microwave Week GaAS 2000, 2000, 268-271.
Goffioul, Michael ; Dambrine, G. ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Comparison of microwave performances for fully and partially depleted sub-quarter micron SOI MOSFET’s. 5th Symposium Diagnostics and Yield, SOI – materials, devices and characterization (Warsaw, Poland, 00/07/2000). In: Proceedings of the 5th Symposium Diagnostics and Yield, SOI – materials, devices and characterization, 2000, p. 8 pages.
Goffioul, Michael ; Vanhoenacker-Janvier, Danielle ; Raskin, Jean-Pierre. Direct extraction techniques of technological parameters and microwave small-signal model for sub-quarter micron SOI MOSFET’s. 5th Symposium Diagnostics and Yield, SOI – materials, devices and characterization (Warsaw, Poland, du 28/06/2000 au 30/06/2000). In: Proceedings of the 5th Symposium Diagnostics and Yield, SOI – materials, devices and characterization, 2000, p. 8 pages.
Goffioul, M. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Microwave integrated CMOS oscillators on silicon-on-insulator substrate . Proceedings of GAAS 2000 (Paris, France, 2-3 October 2000). In: GAAS 2000. Conference Proceedings, Microwave eng. eur , 2000. 0-86213-222-3, 4 pp..
Brown, A. ; Raskin, Jean-Pierre ; Khuri-Yakub, B. T. ; Rebeiz, G. M.. Novel parametric-effect MEMS amplifiers/transducers. Solid-State Sensor and Actuator Workshop (Hilton Head Island, South Carolina (USA), du 04/06/2000 au 08/06/2000).
Raskin, Jean-Pierre ; Khuri-Yakub, B.T. ; Rebeiz, G.M.. Novel parametric-effect MEMS amplifiers/transducers. Proceedings of 7th International Conference on New Actuators - ACTUATOR 2000 (Bremen, Germany, 19-21 June 2000). In: ACTUATOR 2000. 7th International Conference on New Actuators andInternational Exhibition on Smart Actuators and Drive Systems.Conference Proceedings, Messe bremen gmbh, 2000. 3-933339-02-2, p. 494-497.
Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Dehan, M. ; Goffioul, Pauline ; Simon, Pascal ; Iniguez, Benjamin ; Renaux, Christian ; Flandre, Denis. SOI CMOS for Low-Voltage, Low-Power Microwave Applications. EUROSOI 2000 Meeting on Silicon-on-Insulator Devices (Granada (Espagne), du 26/10/2000 au 27/10/2000). In: Proceedings of the EUROSOI 2000 Meeting on Silicon-on-Insulator Devices, 2000, 35-38.
Torrese, Guido ; Ajram, S. ; Raskin, Jean-Pierre ; Rolland, P.A. ; Vander Vorst, André. Trans-impedance two stages OEIC receiver using PIN photodetector. Fifth Annual Symposium of the IEEE/LEOS Benelux Chapter (Delft, The Netherlands, 30/10/2000). In: Proceedings of the Fifth Annual Symposium of the IEEE/LEOS Benelux Chapter, 2000, pp. 47-50.
Gauthier, G. ; Raskin, Jean-Pierre ; Katehi, L.P.B. ; Rebeiz, G.M.. A 94 GHz Aperture-Coupled Micromachined Microstrip Antenna. In: IEEE Transactions on Antennas and Propagation, Vol. 47, no.12, p. 1761-1766 (December 1999). doi:10.1109/8.817650.
Raskin, Jean-Pierre ; Dambrine, Gilles ; Vanhoenacker-Janvier, Danielle. Accurate SOI MOSFET Characterization at Microwave Frequencies. In: Electron Technology, Vol. 32, no.1/2, p. 72-80 (1999).
Flandre, Denis ; Colinge, JP. ; Chen, J. ; De Ceuster, D ; Eggermont, JP. ; Ferreira, L ; Gentinne, B. ; Jespers, PGA. ; Viviani, A ; Gillon, R. ; Raskin, Jean-Pierre ; Vander Vorst, André ; Vanhoenacker-Janvier, Danielle ; Silveira, F.. Fully-depleted SOI CMOS technology for low-voltage, low-power mixed digital/analog/microwave circuits. In: Int. Journal of Analog Integrated Circuits and Signal Processing, Vol. 21, no. 3, p. 213-228 (1999). doi:10.1023/A:1008321919587.
Dambrine, Gilles ; Raskin, Jean-Pierre ; Picheta, L. ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre ; Cappy, A.. High frequency four noise parameters of Silicon-on-Insulator-based technology MOSFET: Prospects for application to low noise RF integrated circuits. In: Electron Technology - Internet Journal, Vol. 32, no.1/2, p. 81-87 (1999).
Dambrine, G. ; Raskin, Jean-Pierre ; Danneville, F. ; Vanhoenacker-Janvier, Danielle ; Colinge, JP. ; Cappy, A.. High-frequency four noise parameters of silicon-on-insulator-based technology MOSFET for the design of low-noise RF integrated circuits. In: IEEE Transactions on Electron Devices, Vol. 46, no. 8, p. 1733-1741 (1999). doi:10.1109/16.777164.
Gauthier, G. ; Raskin, Jean-Pierre ; Rebeiz, G.M.. A 140 - 170 GHz Low-Noise Uniplanar Subharmonic Schottky-Receiver. IEEE MTT-S International Microwave Symposium (Anaheim, CA, USA, du 13/06/1999 au 19/06/1999). In: Proceedings of the IEEE MTT-S International Microwave Symposium, 1999, p. 4 pages.
Ellis, T. ; Raskin, Jean-Pierre ; Rebeiz, G.M. ; Katehi, L.P.. A Wideband CPW-fed aperture-coupled at Millimeter-Wave Frequencies. IEEE Antennas and Propagation Society International Symposium, 1999 (Orlando, Florida, USA, du 11/07/1999 au 16/07/1999). In: Proceedings of the IEEE Antennas and Propagation Society International Symposium, 1999, 1999. 0-7803-5639-x, pp. 1220-1223. doi:10.1109/APS.1999.789533.
Ellis, T. ; Raskin, Jean-Pierre ; Katehi, L.P. ; Rebeiz, G.M.. A Wideband CPW-to-microstrip Transition for Millimeter-wave Packaging. IEEE MTT-S International Microwave Symposium (Anaheim, CA, USA, du 13/06/1999 au 19/06/1999). In: Proceedings of the IEEE MTT-S International Microwave Symposium, 1999. 0-7803-5135-5, pp. 629-632. doi:10.1109/MWSYM.1999.779840.
Dambrine, G. ; Raskin, Jean-Pierre ; Danneville, F. ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre ; Cappy, A.. Silicon-on-Insulator-Based Technology MOSFET: Prospects For Application to Low Noise RF Integrated Circuits. 26th General Assembly of the International Union of Radio Science (URSI) (Toronto, Canada, du 13/08/1999 au 21/08/1999). In: Proceedings of the 26th General Assembly of the International Union of Radio Science (URSI), 1999, p. 669.
Raskin, Jean-Pierre ; Gillon, R. ; Chen, J. ; Vanhoenacker-Janvier, Danielle ; Collinge, J.-P.. Accurate SOI MOSFET characterisation at microwave frequencies for device performance optimisation and analogue modeling. In: IEEE Transactions on Electron Devices, Vol. 45, no.5, p. 1017-1025 (1998).
Raskin, Jean-Pierre ; Gillon, R. ; Chen, J. ; Vanhoenacker-Janvier, Danielle ; Colinge, JP.. Accurate SOI MOSFET characterization at microwave frequencies for device performance optimization and analog modeling. In: IEEE Transactions on Electron Devices, Vol. 45, no. 5, p. 1017-1025 (1998). doi:10.1109/16.669514.
Eggermont, Jean-Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Colinge, Jean-Pierre. Potential and modeling of 1-mu m SOI CMOS operational transconductance amplifiers for applications up to 1 GHz. In: IEEE Journal of Solid State Circuits, Vol. 33, no. 4, p. 640-643 (1998). doi:10.1109/4.663571.
Gillon, Renaud ; Colinge, Jean-Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Silicon-on-Insulator for RF and microwave low-power applications. In: Microwave engineering Europe, p. 49-54 (June 1998).
Raskin, Jean-Pierre ; Dambrine, Gilles ; Vanhoenacker-Janvier, Danielle. Accurate SOI MOSFET characterization at microwave frequencies. 4th Symposium Diagnostics and Yield, SOI – materials, devices and characterization (Warszaw, Poland, du 22/04/1998 au 25/04/1998). In: Proceedings of the 4th Symposium Diagnostics and Yield, SOI – materials, devices and characterization, 1998, 1998, p. 2 pages.
Demeûs, Laurent ; Chen, Jian ; Eggermont, Jean-Paul ; Gillon, Renaud ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Flandre, Denis. Advanced SOI CMOS technology for RF applications. URSI International Symposium on Signals, Systems, and Electronics, 1998 (ISSSE 1998) (Pisa (Italy), du 22/09/1998 au 2/10/1998). In: Proceedings of the URSI International Symposium on Signals, Systems, and Electronics, 1998 (ISSSE 1998), IEEE, 1998. 0-7803-4900-8, 134-139. doi:10.1109/ISSSE.1998.738053. doi:10.1109/ISSSE.1998.738053.
Raskin, Jean-Pierre ; Gillon, Renaud ; Dambrine, G. ; Vanhoenacker-Janvier, Danielle. Direct extraction of the Non-Quasi-Static small-signal model of MOSFET’s. 28th European Microwave Conference, 1998 (Amsterdam, Netherlands, du 05/10/1998 au 09/10/1998). In: Proceedings of the 28th European Microwave Conference proceedings EuMC '98, 1998, pp. 727-732.
Katehi, L. P. B. ; Rebeiz, G. M. ; Raskin, Jean-Pierre ; Robertson, S. V. ; Weller, T. M. ; Yook, J.-G. ; Gauthier, G. ; Henderson, R. ; Herrick, K.. Micromachined W-Band Power Cube. Antenna Applications Symposium (Monticello, Illinois (USA), du 16/09/1998 au 18/09/1998).
Dambrine, G. ; Raskin, Jean-Pierre ; Danneville, F. ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre ; Picheta, L. ; Cappy, A.. Silicon-on-Insulator-Based Technology MOSFET: Prospects For Application to Low Noise RF Integrated Circuits. 28th European Microwave Conference, 1998 ( Amsterdam, Netherlands, 00/10/1998). In: Proceedings of the 28th European Microwave Conference proceedings EuMC '98, 1998, pp. 721-726. doi:10.1109/EUMA.1998.338076.
Gillon, Renaud ; Colinge, Jean-Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Silicon-on-insulator for RF and microwave low-power applications. Microwave Eng. Workshop on New technologies for RF devices (London (UK), 05/1998). In: Proceedings of the Microwave Eng. Workshop on New technologies for RF devices, 1998, Session 2, paper 3.
Chen, J. ; Colinge, Jean-Pierre ; Flandre, Denis ; Gillon, R. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Comparison of TiSi2, CoSi2, and NiSi for thin-film silicon-on-insulator applications. In: Journal of the Electrochemical Society, Vol. 144, no. 7, p. 2437-2442 (1997). doi:10.1149/1.1837833.
Raskin, Jean-Pierre ; Dambrine, G. ; Gillon, R.. Direct extraction of the series equivalent circuit parameters for the small-signal model of SOI MOSFET's. In: IEEE Microwave and Guided Wave Letters, Vol. 7, no. 12, p. 408-410 (1997). doi:10.1109/75.645191.
Eggermont, Jean-Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Colinge, Jean-Pierre. Potential and Modeling of 1 µm - 1 GHz SOI CMOS OTAs. In: Electronics Letters, Vol. 33, no. 9, p. 774-775 (April).
Eggermont, Jean-Pierre ; Flandre, Denis ; Raskin, Jean-Pierre ; Colinge, Jean-Pierre. Potential and modelling of 1 mu m 1GHz SOI CMOS OTAs. In: Electronics Letters, Vol. 33, no. 9, p. 774-775 (1997). doi:10.1049/el:19970517.
Raskin, Jean-Pierre ; Viviani, A ; Flandre, Denis ; Colinge, Jean-Pierre. Substrate crosstalk reduction using SOI technology. In: IEEE Transactions on Electron Devices, Vol. 44, no. 12, p. 2252-2261 (1997). doi:10.1109/16.644646.
Gillon, Renaud ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre ; Dambrine, G.. Characterization of SOI MOSFETs at microwave frequencies. 48th Electrochemical Society Meeting - SOI symposium (Paris, France, 00/09/1997). In: Proceedings of the 48th Electrochemical Society Meeting - SOI symposium, 1997, pp. 149-154.
Huynen, Isabelle ; Raskin, Jean-Pierre ; Gillon, Renaud ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Integrated microwave inductors on Silicon-on-Insulator substrates. 27th European Microwave Conference, EuMC '97 (Jérusalem, Israël, du 05/09/1997 au 08/09/1997). In: Proceedings of the 27th European Microwave Conference, EuMC '97, 1997, pp. 1008-1013. doi:10.1109/EUMA.1997.337928.
Chen, J. ; Colinge, Jean-Pierre ; Flandre, Denis ; Gillon, R. ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Investigation of salicide processes for thin-film SOI microwave applications. 8th International Symposium on SOI technology and devices (ECS 1997) (Paris (France), du 20/11/1997 au 21/11/1996). In: Proceedings of the 8th International Symposium on SOI technology and devices (ECS 1997), 1997, 98-103.
Huynen, Isabelle ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Modeling and measurements of inductive elements on SIMOX substrates. 27th European Microwave Conference, EuMC '97 (Jerusalem, Israel, 00/09/1997). In: Proceedings of the 27th European Microwave Conference proceedings EuMC '97, 1997, pp. 1008-1013.
Raskin, Jean-Pierre. Modeling, characterization and optimization of MOSFET’s for the synthesis of SOI MMIC’s. U.R.S.I. 1997 (Gent, Belgium, 00/12/1997). In: Union Radio-Scientifique Internationale (U.R.S.I.), 1997, pp. 43-45.
Raskin, Jean-Pierre ; Eggermont, J.-P. ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Synthetic microwave inductors in SOI technology. 1997 IEEE International SOI Conference (Fish Camp, California, USA, du 06/10/1997 au 09/10/1997). In: Proceedings of the 1997 IEEE International SOI Conference, 1997. 0-7803-3938-X, pp. 90-91. doi:10.1109/SOI.1997.634947.
Raskin, Jean-Pierre ; Dambrine, G.. Une nouvelle méthode d'extraction directe des paramètres petits signaux d'un transistor à effet de champ, application aux MOSFET SOI. Journées Nationales Microondes (Saint-Malo, France, du 20/05/1997 au 23/05/1997). In: Proceedings des Journées Nationales Microondes, 1997, pp. 586-587.
Raskin, Jean-Pierre. Modeling, characterization and optimization of MOSFET's and passive elements for the synthesis of SOI MMIC's, prom. : Colinge, Jean-Pierre ; Janvier, Danielle, 1997.
Colinge, Jean-Pierre ; Chen, J. ; Flandre, Denis ; Raskin, Jean-Pierre ; Gillon, R. ; Vanhoenacker-Janvier, Danielle. A low-voltage, low-power microwave SOI MOSFET. IEEE International SOI Conference 1996 (Fort Myers (USA), du 30/09/1996 au 03/10/1996). In: Proceedings of the IEEE International SOI Conference, 1996, I E E E, 1996. 0-7803-3315-2, 128-129. doi:10.1109/SOI.1996.552527. doi:10.1109/SOI.1996.552527.
Raskin, Jean-Pierre ; Huynen, Isabelle ; Gillon, Renaud ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. An efficient design tool for transmission line on SIMOX substrates. 1996 IEEE International SOI Conference (Fort Myers, FL, USA, du 01/10/1996 au 03/10/1996). In: Proceedings of the 1996 IEEE International SOI Conference, 1996. 0-7803-3315-2, pp. 128-129. doi:10.1109/SOI.1996.552477.
Gillon, Renaud ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Determining the reference impedance of on-wafer TLR calibrations on lossy substrates. 26th European Microwave Conference proceedings EuMC '96 (Prague, Czech Republic, du 09/09/1996 au 12/09/1996). In: Proceedings of the 26th European Microwave Conference proceedings EuMC '96, 1996, pp. 170-173. doi:10.1109/EUMA.1996.337545.
Raskin, Jean-Pierre ; Gillon, Renaud ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Direct extraction method of SOI MOSFET transistor's parameters. 7th International Symposium on SOI Technology and Devices (Los Angeles, USA, du 05/05/1996 au 10/05/1996). In: Proceedings of the 7th International Symposium on SOI Technology and Devices, 1996, pp. 225-230.
Raskin, Jean-Pierre ; Gillon, Renaud ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Direct extraction method of SOI MOSFET transistor's parameters. International Conference on Microelectronic Test Structures, ICMTS 1996. (Trento, Italy, du 26/03/1996 au 28/03/1996). In: Proceedings of the International Conference on Microelectronic Test Structures, ICMTS 1996, 1996. 0-7803-2783-7, p. 191-194. doi:10.1109/ICMTS.1996.535644.
Raskin, Jean-Pierre. Modelling, synthesis and optimization of a microwave integrated oscillator in SOI technology. URSI 1996 (Mons, Belgique, 03/12/1996). In: Union Radio-Scientifique Internationale (U.R.S.I.), 1996, p. page 88.
Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre ; Flandre, Denis. Coupling effects in high-resistivity SIMOX substrates for VHF and microwave applications. IEEE International SOI Conference, 1995 (Tucson (USA), du 03/10/1995 au 05/10/1995). In: Proceedings of the IEEE International SOI Conference, 1995, I E E E, 1995. 0-7803-2547-8, 62-63.
Raskin, Jean-Pierre ; Viviani, A. ; Flandre, Denis ; Colinge, Jean-Pierre ; Vanhoenacker-Janvier, Danielle. Extended study of crosstalk in SOI-SIMOX substrates. International Electron Devices Meeting 1995 (IEDM 1995) (Washington, DC (USA), du 10/12/1995 au 13/12/1995). In: Proceedings of the International Electron Devices Meeting 1995 (IEDM 1995), I E E E, 1995. 0-7803-2700-4, 713-716. doi:10.1109/IEDM.1995.499318.
Raskin, Jean-Pierre. Le transistor MOSFET SOI dans le domaine des micro-ondes : modèle linéaire et choix technologiques. URSI 1995 (Leuven, Belgium, 13/12/1995). In: Union Radio-Scientifique Internationale, 1995, p. 55.
Gillon, Renaud ; Raskin, Jean-Pierre ; Colinge, Jean-Pierre ; Chen, Jian ; Vanhoenacker-Janvier, Danielle. Low Power / High Frequency devices on SOI. N.U.T.E.K. CONSORTIA Workshop on Si-Processing and High Frequency Devices (Kista, Sweden, du 15/06/1995 au 16/06/1995). In: Proceedings of the N.U.T.E.K. CONSORTIA Workshop on Si-Processing and High Frequency Devices, 1995, p. 10 pages.
Gillon, Renaud ; Raskin, Jean-Pierre ; Vanhoenacker-Janvier, Danielle ; Colinge, Jean-Pierre. Modelling and Optimizing the SOI MOSFET in view of MMIC applications. 25th European Microwave Conference proceedings EuMC '95 (Bologna, Italy, 04/09/1995). In: Proceedings of the 25th European Microwave Conference proceedings EuMC '95, 1995, p. 543-547.
Raskin, Jean-Pierre. Modélisation et optimisation des paramètres du transistor MOSFET, en technologie SOI, à hautes fréquences. URSI 1994 (Louvain-la-Neuve, du 01/12/1994 au 02/12/1994). In: Union Radio-Scientifique Internationale, 1994, p. 117.
Unités d'enseignement pour 2024
Libellé | Code |
---|---|
Advanced Transistors | LELEC2541 |
Micro and Nanofabrication Techniques | LELEC2560 |
Séminaire en science et gestion de l'environnement | LENVI2002 |
Sociétés, populations, environnement, développement: problématiques et approches interdisciplinaires | LENVI2101 |
Développement durable et transition | LEPL1804 |
Stage en entreprise | LFSA2995 |
IngénieuxSud | LSST1001 |
You can download my resume (without the publications list) updated on March 2025.
Diplômes
Année | Libellé | Établissement |
---|---|---|
1994 | Licencié en sciences appliquées | Université catholique de Louvain (Belgique) |
1997 | Docteur en sciences appliquées | Université catholique de Louvain (Belgique) |